From 3f59378740426111b5b2867a936e7f9dd749508c Mon Sep 17 00:00:00 2001 From: "Azarenkov, Leonid" Date: Mon, 28 Nov 2022 12:44:16 -0800 Subject: [PATCH 1/4] update designs/template --- designs/template/CMakeLists.txt | 22 ++++++++++++++++++---- designs/template/dut.h | 21 +++++++++++++++------ designs/template/example.cpp | 23 ++++++++++++++++++++--- 3 files changed, 53 insertions(+), 13 deletions(-) diff --git a/designs/template/CMakeLists.txt b/designs/template/CMakeLists.txt index 0663f91e..39d48dc9 100644 --- a/designs/template/CMakeLists.txt +++ b/designs/template/CMakeLists.txt @@ -5,15 +5,29 @@ # # ***************************************************************************** +cmake_minimum_required(VERSION 3.12) + +enable_testing() + +if(NOT DEFINED ENV{ICSC_HOME}) + message("ICSC_HOME is not defined!") + return() +endif() + # Design template project(mydesign) +## SVC package contains ScTool and SystemC libraries +find_package(SVC REQUIRED) + +# C++ standard must be the same as in ScTool, $(SystemC_CXX_STANDARD) contains 17 +set(CMAKE_CXX_STANDARD 17) + +#include_directories($ENV{ICSC_HOME}/include) + # All synthesizable source files must be listed here (not in libraries) add_executable(mydesign example.cpp) -# Test source directory -target_include_directories(mydesign PUBLIC $ENV{ICSC_HOME}/examples/template) - # Add compilation options # target_compile_definitions(mydesign PUBLIC -DMYOPTION) # target_compile_options(mydesign PUBLIC -Wall) @@ -25,4 +39,4 @@ target_include_directories(mydesign PUBLIC $ENV{ICSC_HOME}/examples/template) # and @mydesign that runs general SystemC simulation # ELAB_TOP parameter accepts hierarchical name of DUT # (that is SystemC name, returned by sc_object::name() method) -svc_target(mydesign ELAB_TOP tb.dut_inst) \ No newline at end of file +svc_target(mydesign INIT_LOCAL_VARS ELAB_TOP tb.dut_inst) diff --git a/designs/template/dut.h b/designs/template/dut.h index 7866afe9..54d43b8b 100644 --- a/designs/template/dut.h +++ b/designs/template/dut.h @@ -9,33 +9,42 @@ struct Dut : sc_module { + typedef sc_uint<16> data_t; + sc_in clk{"clk"}; sc_in rstn{"rstn"}; - sc_signal> s{"s"}; + sc_in inp{"inp"}; + sc_out outp{"outp"}; + SC_CTOR(Dut) { - SC_CTHREAD(threadProc, clk.pos()); - async_reset_signal_is(rstn, false); + SC_CTHREAD(threadProc, clk.pos()); + async_reset_signal_is(rstn, false); - SC_METHOD(methodProc); - sensitive << s; // Add all signal/ports read in method function here + SC_METHOD(methodProc); + sensitive << tmps; // Add all signal/ports read in method function here } + + sc_signal tmps{"tmps"}; void threadProc() { // Reset signal/output port values here + tmps = 0; wait(); while (true) { // Place sequential logic here + tmps = inp; wait(); } } void methodProc() { // Place combinational logic here + outp = tmps.read() + data_t(1); } -}; \ No newline at end of file +}; diff --git a/designs/template/example.cpp b/designs/template/example.cpp index 624d6c4d..01f731b7 100644 --- a/designs/template/example.cpp +++ b/designs/template/example.cpp @@ -7,34 +7,51 @@ // Design template -#include "dut.h" #include +#include "dut.h" // ICSC requires DUT top should be instantiated inside wrapper (typically TB) // and all DUT ports are bound. struct Tb : sc_module { + typedef Dut::data_t data_t; + sc_in_clk clk{"clk"}; sc_signal rstn{"rstn"}; + + sc_signal inp{"inp"}; + sc_signal outp{"outp"}; Dut dut_inst{"dut_inst"}; + SC_CTOR(Tb) { dut_inst.clk(clk); dut_inst.rstn(rstn); + dut_inst.inp(inp); + dut_inst.outp(outp); SC_CTHREAD(test_proc, clk.pos()); } - // Assert and de-assert reset for DUT void test_proc() { + cout << "test_proc() started" << endl; + + // Assert and de-assert reset for DUT rstn = 0; + inp = 0; wait(); rstn = 1; // Add testbench code here + inp = 10; + wait(2); + inp = 0; + sc_assert(outp.read() == 11); + sc_stop(); + cout << "test_proc() finished!" << endl; } }; @@ -45,4 +62,4 @@ int sc_main (int argc, char **argv) tb.clk(clk); sc_start(); return 0; -} \ No newline at end of file +} From bb4bda08967cefd572b8dbd54eb5a099945ed8b1 Mon Sep 17 00:00:00 2001 From: "Azarenkov, Leonid" Date: Mon, 28 Nov 2022 13:27:05 -0800 Subject: [PATCH 2/4] move tests/, examples/ -> designs/ --- designs/CMakeLists.txt | 1 - designs/README | 35 +++++++++++++++++++ {examples => designs/examples}/CMakeLists.txt | 17 +++++++++ .../examples}/Dma/CMakeLists.txt | 0 .../examples}/Dma/GenDma_sc.h | 0 .../examples}/Dma/GenDma_tb.cpp | 0 {examples => designs/examples}/Dma/register.h | 0 .../examples}/asserts/CMakeLists.txt | 0 .../examples}/asserts/imm_assert.cpp | 0 .../examples}/asserts/temp_assert.cpp | 0 .../examples}/counter/CMakeLists.txt | 0 {examples => designs/examples}/counter/dut.h | 0 .../examples}/counter/example.cpp | 0 .../examples}/decoder/CMakeLists.txt | 0 {examples => designs/examples}/decoder/dut.h | 0 .../examples}/decoder/example.cpp | 0 .../examples}/dvcon20/AdvFifo.h | 0 .../examples}/dvcon20/CMakeLists.txt | 0 .../examples}/dvcon20/dvcon_fifo.sv | 0 .../examples}/dvcon20/dvcon_simple.sv | 0 .../examples}/dvcon20/test_fifo.cpp | 0 .../examples}/dvcon20/test_simple.cpp | 0 .../examples}/fsm/CMakeLists.txt | 0 {examples => designs/examples}/fsm/dut.h | 0 .../examples}/fsm/example.cpp | 0 .../examples}/int_error/CMakeLists.txt | 0 .../examples}/int_error/example.cpp | 0 .../examples}/intrinsic/CMakeLists.txt | 0 .../examples}/intrinsic/verilog_intrinsic.cpp | 0 .../examples}/latch_ff/CMakeLists.txt | 0 {examples => designs/examples}/latch_ff/dut.h | 0 .../examples}/latch_ff/example.cpp | 0 designs/tests/CMakeLists.txt | 33 +++++++++++++++++ .../tests}/const_prop/CMakeLists.txt | 0 .../tests}/const_prop/const_negative.sv | 0 .../tests}/const_prop/test_const_negative.cpp | 0 .../const_prop/test_const_prop_basic.cpp | 0 .../const_prop/test_const_prop_cthread.cpp | 0 .../test_const_prop_cthread_wait_return.cpp | 0 .../const_prop/test_const_prop_dead_state.cpp | 0 .../const_prop/test_const_prop_deep_fork.cpp | 0 .../const_prop/test_const_prop_deep_wait.cpp | 0 .../const_prop/test_const_prop_dowhile.cpp | 0 .../const_prop/test_const_prop_eval_stmts.cpp | 0 .../test_const_prop_fcall_simple.cpp | 0 .../const_prop/test_const_prop_float.cpp | 0 .../const_prop/test_const_prop_fork_break.cpp | 0 .../test_const_prop_fork_continue.cpp | 0 .../test_const_prop_fork_inner_loop.cpp | 0 .../test_const_prop_fork_return.cpp | 0 .../test_const_prop_inner_loop_wait.cpp | 0 .../const_prop/test_const_prop_logic_term.cpp | 0 .../const_prop/test_const_prop_loops.cpp | 0 .../const_prop/test_const_prop_many_forks.cpp | 0 .../test_const_prop_multiple_inheritance.cpp | 0 .../const_prop/test_const_prop_recursive.cpp | 0 .../const_prop/test_const_prop_sc_dt.cpp | 0 .../test_const_prop_sc_dt_unsupported.cpp | 0 .../const_prop/test_const_prop_stop_wait.cpp | 0 .../const_prop/test_const_prop_switch.cpp | 0 .../test_const_prop_unknown_iters.cpp | 0 .../test_const_prop_virtual_calls.cpp | 0 .../test_const_prop_virtual_inheritance.cpp | 0 .../const_prop/test_nonsynth_cant_unroll.cpp | 0 .../tests}/cthread/CMakeLists.txt | 0 {tests => designs/tests}/cthread/bhv_fifo.sv | 0 .../tests}/cthread/cthread_alive_loop.sv | 0 .../tests}/cthread/cthread_array.sv | 0 .../tests}/cthread/cthread_array_access.sv | 0 .../tests}/cthread/cthread_array_comb_reg.sv | 0 .../tests}/cthread/cthread_break.sv | 0 .../tests}/cthread/cthread_break_via_func.sv | 0 .../tests}/cthread/cthread_concat.sv | 0 .../tests}/cthread/cthread_const_array.sv | 0 .../tests}/cthread/cthread_const_prop.sv | 0 .../tests}/cthread/cthread_continue.sv | 0 .../tests}/cthread/cthread_do_while.sv | 0 .../cthread/cthread_do_while_always1.sv | 0 .../tests}/cthread/cthread_do_while_const.sv | 0 .../cthread/cthread_do_while_other_types.sv | 0 .../cthread/cthread_duplicate_states.sv | 0 .../tests}/cthread/cthread_fcall.sv | 0 .../cthread/cthread_fcall_const_eval.sv | 0 .../tests}/cthread/cthread_fcall_in_reset.sv | 0 .../tests}/cthread/cthread_fcall_ref.sv | 0 .../tests}/cthread/cthread_for.sv | 0 .../tests}/cthread/cthread_for_cntr_scint.sv | 0 .../tests}/cthread/cthread_for_if.sv | 0 .../tests}/cthread/cthread_for_other_types.sv | 0 .../tests}/cthread/cthread_if.sv | 0 .../tests}/cthread/cthread_if_const.sv | 0 .../cthread/cthread_initvar_inf_loop.sv | 0 .../tests}/cthread/cthread_inner_loops.sv | 0 .../cthread/cthread_mif_array_differ.sv | 0 .../cthread/cthread_mod_array_differ.sv | 0 .../tests}/cthread/cthread_mult_resets.sv | 0 .../cthread/cthread_multi_wait_reset.sv | 0 .../tests}/cthread/cthread_multiwait.sv | 0 .../tests}/cthread/cthread_pointer_to_bool.sv | 0 .../tests}/cthread/cthread_pointers.sv | 0 .../tests}/cthread/cthread_read_defined.sv | 0 .../tests}/cthread/cthread_read_defined_0.sv | 0 .../tests}/cthread/cthread_read_defined_1.sv | 0 .../tests}/cthread/cthread_read_defined_2.sv | 0 .../tests}/cthread/cthread_read_defined_3.sv | 0 .../cthread/cthread_read_defined_param1.sv | 0 .../cthread/cthread_read_defined_param2.sv | 0 .../cthread/cthread_read_defined_param3.sv | 0 .../cthread/cthread_read_defined_param4.sv | 0 .../cthread/cthread_read_defined_ref.sv | 0 .../cthread/cthread_read_defined_unknown.sv | 0 .../tests}/cthread/cthread_read_only.sv | 0 .../tests}/cthread/cthread_redundant_code.sv | 0 .../tests}/cthread/cthread_reset.sv | 0 .../tests}/cthread/cthread_reset_after.sv | 0 .../tests}/cthread/cthread_reset_fail.sv | 0 .../cthread/cthread_reset_noninit_read.sv | 0 .../tests}/cthread/cthread_reset_warning.sv | 0 .../tests}/cthread/cthread_return.sv | 0 .../tests}/cthread/cthread_sel_bit.sv | 0 .../tests}/cthread/cthread_sel_range.sv | 0 .../tests}/cthread/cthread_simple.sv | 0 .../tests}/cthread/cthread_simple2.sv | 0 .../tests}/cthread/cthread_simple_reset.sv | 0 .../tests}/cthread/cthread_switch.sv | 0 .../tests}/cthread/cthread_switch_after.sv | 0 .../tests}/cthread/cthread_switch_default.sv | 0 .../cthread/cthread_switch_empty_case.sv | 0 .../tests}/cthread/cthread_switch_inside.sv | 0 .../cthread/cthread_sync_async_reset.sv | 0 .../tests}/cthread/cthread_var_comb.sv | 0 .../tests}/cthread/cthread_var_const.sv | 0 .../cthread/cthread_var_multiple_use.sv | 0 .../tests}/cthread/cthread_var_ref.sv | 0 .../tests}/cthread/cthread_var_reg.sv | 0 .../tests}/cthread/cthread_varname.sv | 0 .../tests}/cthread/cthread_virtual_wait.sv | 0 .../tests}/cthread/cthread_wait_n.sv | 0 .../tests}/cthread/cthread_wait_states.sv | 0 .../tests}/cthread/cthread_while.sv | 0 .../tests}/cthread/cthread_while_const.sv | 0 .../cthread/cthread_while_other_types.sv | 0 {tests => designs/tests}/cthread/rtl_fifo.sv | 0 .../tests}/cthread/simple_fsm.sv | 0 .../tests}/cthread/test_bhv_fifo.cpp | 0 .../tests}/cthread/test_break.cpp | 0 .../tests}/cthread/test_continue.cpp | 0 .../cthread/test_cthread_alive_loop.cpp | 0 .../tests}/cthread/test_cthread_array.cpp | 0 .../cthread/test_cthread_array_access.cpp | 0 .../cthread/test_cthread_array_comb_reg.cpp | 0 .../cthread/test_cthread_break_via_func.cpp | 0 .../tests}/cthread/test_cthread_concat.cpp | 0 .../cthread/test_cthread_const_array.cpp | 0 .../cthread/test_cthread_const_fail.cpp | 0 .../cthread/test_cthread_const_prop.cpp | 0 .../cthread/test_cthread_duplicate_states.cpp | 0 .../tests}/cthread/test_cthread_fcall.cpp | 0 .../cthread/test_cthread_fcall_const_eval.cpp | 0 .../tests}/cthread/test_cthread_for.cpp | 0 .../cthread/test_cthread_for_cntr_scint.cpp | 0 .../tests}/cthread/test_cthread_for_if.cpp | 0 .../cthread/test_cthread_for_other_types.cpp | 0 .../cthread/test_cthread_initvar_inf_loop.cpp | 0 .../cthread/test_cthread_inner_loops.cpp | 0 .../tests}/cthread/test_cthread_loop_fail.cpp | 0 .../cthread/test_cthread_loop_fail2.cpp | 0 .../cthread/test_cthread_loop_fail3.cpp | 0 .../cthread/test_cthread_loop_fail4.cpp | 0 .../cthread/test_cthread_loop_fail5.cpp | 0 .../cthread/test_cthread_loop_fail6.cpp | 0 .../cthread/test_cthread_loop_fail7.cpp | 0 .../cthread/test_cthread_mult_resets.cpp | 0 .../cthread/test_cthread_multi_wait_reset.cpp | 0 .../cthread/test_cthread_read_defined.cpp | 0 .../cthread/test_cthread_read_defined_0.cpp | 0 .../cthread/test_cthread_read_defined_1.cpp | 0 .../cthread/test_cthread_read_defined_2.cpp | 0 .../cthread/test_cthread_read_defined_3.cpp | 0 .../test_cthread_read_defined_param1.cpp | 0 .../test_cthread_read_defined_param2.cpp | 0 .../test_cthread_read_defined_param3.cpp | 0 .../test_cthread_read_defined_param4.cpp | 0 .../cthread/test_cthread_read_defined_ref.cpp | 0 .../test_cthread_read_defined_unknown.cpp | 0 .../tests}/cthread/test_cthread_read_only.cpp | 0 .../tests}/cthread/test_cthread_reset.cpp | 0 .../cthread/test_cthread_reset_after.cpp | 0 .../cthread/test_cthread_reset_fail.cpp | 0 .../cthread/test_cthread_reset_warning.cpp | 0 .../tests}/cthread/test_cthread_sel_bit.cpp | 0 .../tests}/cthread/test_cthread_sel_range.cpp | 0 .../tests}/cthread/test_cthread_simple.cpp | 0 .../tests}/cthread/test_cthread_simple2.cpp | 0 .../cthread/test_cthread_simple_reset.cpp | 0 .../tests}/cthread/test_cthread_switch.cpp | 0 .../cthread/test_cthread_switch_after.cpp | 0 .../cthread/test_cthread_switch_default.cpp | 0 .../test_cthread_switch_empty_case.cpp | 0 .../cthread/test_cthread_switch_inside.cpp | 0 .../cthread/test_cthread_sync_async_reset.cpp | 0 .../tests}/cthread/test_cthread_var_comb.cpp | 0 .../tests}/cthread/test_cthread_var_const.cpp | 0 .../cthread/test_cthread_var_multiple_use.cpp | 0 .../tests}/cthread/test_cthread_var_ref.cpp | 0 .../tests}/cthread/test_cthread_var_reg.cpp | 0 .../tests}/cthread/test_cthread_varname.cpp | 0 .../cthread/test_cthread_virtual_wait.cpp | 0 .../tests}/cthread/test_cthread_wait_n.cpp | 0 .../cthread/test_cthread_wait_n_fail.cpp | 0 .../cthread/test_cthread_wait_n_fail2.cpp | 0 .../cthread/test_cthread_wait_states.cpp | 0 .../tests}/cthread/test_do_while.cpp | 0 .../tests}/cthread/test_do_while_always1.cpp | 0 .../tests}/cthread/test_do_while_const.cpp | 0 .../cthread/test_do_while_other_types.cpp | 0 .../tests}/cthread/test_fcall_in_reset.cpp | 0 .../tests}/cthread/test_fcall_loop_fail.cpp | 0 .../tests}/cthread/test_fcall_ref.cpp | 0 {tests => designs/tests}/cthread/test_if.cpp | 0 .../tests}/cthread/test_if_const.cpp | 0 .../tests}/cthread/test_mif_array_differ.cpp | 0 .../tests}/cthread/test_mod_array_differ.cpp | 0 .../tests}/cthread/test_no_reset_fail.cpp | 0 .../tests}/cthread/test_pointer_to_bool.cpp | 0 .../tests}/cthread/test_pointers.cpp | 0 .../cthread/test_reset_noninit_read.cpp | 0 .../tests}/cthread/test_return.cpp | 0 .../tests}/cthread/test_rtl_fifo.cpp | 0 .../tests}/cthread/test_while.cpp | 0 .../tests}/cthread/test_while_const.cpp | 0 .../tests}/cthread/test_while_other_types.cpp | 0 .../tests}/method/CMakeLists.txt | 0 .../tests}/method/method_array.sv | 0 .../tests}/method/method_array_in_if.sv | 0 .../tests}/method/method_array_init.sv | 0 .../tests}/method/method_array_param.sv | 0 .../tests}/method/method_array_return.sv | 0 .../tests}/method/method_array_unknown.sv | 0 .../tests}/method/method_auto_type.sv | 0 .../tests}/method/method_binary.sv | 0 .../method/method_binary_expr_signed.sv | 0 .../tests}/method/method_binary_sc_types.sv | 0 .../tests}/method/method_binary_signed.sv | 0 .../tests}/method/method_bit_range_access.sv | 0 .../tests}/method/method_bitwise_compl.sv | 0 .../tests}/method/method_bool.sv | 0 .../tests}/method/method_break.sv | 0 .../tests}/method/method_cast.sv | 0 .../tests}/method/method_compound.sv | 0 .../tests}/method/method_concat.sv | 0 .../tests}/method/method_cond_cast.sv | 0 .../tests}/method/method_cond_oper.sv | 0 .../tests}/method/method_cond_side_effect.sv | 0 .../tests}/method/method_const.sv | 0 .../tests}/method/method_const_array.sv | 0 .../tests}/method/method_const_binary.sv | 0 .../tests}/method/method_const_compl_cond.sv | 0 .../tests}/method/method_const_cond_narrow.sv | 0 .../tests}/method/method_const_if.sv | 0 .../tests}/method/method_const_if2.sv | 0 .../tests}/method/method_const_liter.sv | 0 .../method/method_const_liter_compound.sv | 0 .../tests}/method/method_const_prop.sv | 0 .../tests}/method/method_const_prop_loop.sv | 0 .../tests}/method/method_const_prop_range.sv | 0 .../tests}/method/method_const_static_mem.sv | 0 .../tests}/method/method_continue.sv | 0 .../tests}/method/method_decoder.sv | 0 .../tests}/method/method_dowhile.sv | 0 .../tests}/method/method_dowhile_other.sv | 0 .../tests}/method/method_empty_sensvty.sv | 0 .../tests}/method/method_enum.sv | 0 .../method/method_explicit_specialz_templ.sv | 0 .../method/method_explicit_type_conv.sv | 0 .../tests}/method/method_fcall.sv | 0 .../tests}/method/method_fcall_base.sv | 0 .../tests}/method/method_fcall_const_eval.sv | 0 .../tests}/method/method_fcall_const_eval2.sv | 0 .../tests}/method/method_fcall_ref.sv | 0 .../method/method_fcall_ref_arr_unkwn.sv | 0 .../tests}/method/method_ff_latch.sv | 0 {tests => designs/tests}/method/method_for.sv | 0 .../tests}/method/method_for_fcall.sv | 0 .../method/method_forloop_other_types.sv | 0 .../tests}/method/method_generic_fcall.sv | 0 {tests => designs/tests}/method/method_if.sv | 0 .../tests}/method/method_latch.sv | 0 .../tests}/method/method_level1.sv | 0 .../tests}/method/method_level2.sv | 0 .../tests}/method/method_level_error.sv | 0 .../method_mix_signed_unsigned_types.sv | 0 .../tests}/method/method_non_sensvty.sv | 0 .../tests}/method/method_pointers.sv | 0 .../tests}/method/method_port_array_bind.sv | 0 .../tests}/method/method_return.sv | 0 .../tests}/method/method_sc_bv.sv | 0 .../tests}/method/method_sc_types.sv | 0 .../tests}/method/method_shift_type_ext.sv | 0 .../tests}/method/method_shifts_signed.sv | 0 .../tests}/method/method_sig_array_access.sv | 0 .../method/method_standard_collection.sv | 0 .../tests}/method/method_std_array.sv | 0 .../tests}/method/method_stdouts_prints.sv | 0 .../tests}/method/method_string_liter.sv | 0 .../tests}/method/method_switch.sv | 0 .../tests}/method/method_switch_after.sv | 0 .../tests}/method/method_switch_const.sv | 0 .../tests}/method/method_switch_empty_case.sv | 0 .../tests}/method/method_switch_enum.sv | 0 .../tests}/method/method_switch_inside.sv | 0 .../tests}/method/method_trace.sv | 0 .../tests}/method/method_unary.sv | 0 .../tests}/method/method_unary_sc.sv | 0 {tests => designs/tests}/method/method_var.sv | 0 .../tests}/method/method_var_multiple_use.sv | 0 .../tests}/method/method_var_multiple_use2.sv | 0 .../tests}/method/method_var_multiple_use3.sv | 0 .../tests}/method/method_var_ref.sv | 0 .../tests}/method/method_var_ref_arr_unkwn.sv | 0 .../method/method_var_usedef_same_proc.sv | 0 .../tests}/method/method_virtual1.sv | 0 .../tests}/method/method_virtual2.sv | 0 .../tests}/method/method_virtual3.sv | 0 .../tests}/method/method_virtual4.sv | 0 .../tests}/method/method_virtual_cast.sv | 0 .../tests}/method/method_virtual_field.sv | 0 .../tests}/method/method_virtual_pure.sv | 0 .../tests}/method/method_vname.sv | 0 .../tests}/method/method_while.sv | 0 .../tests}/method/method_while_const.sv | 0 .../tests}/method/method_while_other.sv | 0 .../tests}/method/test_array.cpp | 0 .../tests}/method/test_array_in_if.cpp | 0 .../tests}/method/test_array_init.cpp | 0 .../tests}/method/test_array_param.cpp | 0 .../tests}/method/test_array_return.cpp | 0 .../tests}/method/test_array_return_fail.cpp | 0 .../tests}/method/test_array_unknown.cpp | 0 .../tests}/method/test_auto_type.cpp | 0 .../tests}/method/test_binary.cpp | 0 .../tests}/method/test_binary_expr_signed.cpp | 0 .../tests}/method/test_binary_sc_types.cpp | 0 .../tests}/method/test_binary_signed.cpp | 0 .../tests}/method/test_binary_signed_fail.cpp | 0 .../tests}/method/test_bit_range_access.cpp | 0 .../tests}/method/test_bit_range_fail.cpp | 0 .../tests}/method/test_bitwise_compl.cpp | 0 .../method/test_bitwise_not_bool_fail.cpp | 0 {tests => designs/tests}/method/test_bool.cpp | 0 .../tests}/method/test_break.cpp | 0 {tests => designs/tests}/method/test_cast.cpp | 0 .../tests}/method/test_child_module.cpp | 0 .../tests}/method/test_compound.cpp | 0 .../tests}/method/test_concat.cpp | 0 .../tests}/method/test_concat_fail.cpp | 0 .../tests}/method/test_cond_cast.cpp | 0 .../tests}/method/test_cond_oper.cpp | 0 .../tests}/method/test_cond_side_effect.cpp | 0 .../tests}/method/test_const.cpp | 0 .../tests}/method/test_const_array.cpp | 0 .../tests}/method/test_const_binary.cpp | 0 .../tests}/method/test_const_compl_cond.cpp | 0 .../tests}/method/test_const_cond_narrow.cpp | 0 .../tests}/method/test_const_fail.cpp | 0 .../tests}/method/test_const_if.cpp | 0 .../tests}/method/test_const_if2.cpp | 0 .../tests}/method/test_const_liter.cpp | 0 .../method/test_const_liter_compound.cpp | 0 .../tests}/method/test_const_prop.cpp | 0 .../tests}/method/test_const_prop_fail.cpp | 0 .../tests}/method/test_const_prop_fail2.cpp | 0 .../tests}/method/test_const_prop_loop.cpp | 0 .../tests}/method/test_const_prop_range.cpp | 0 .../tests}/method/test_const_static_mem.cpp | 0 .../tests}/method/test_continue.cpp | 0 .../tests}/method/test_decoder.cpp | 0 .../tests}/method/test_dowhile.cpp | 0 .../tests}/method/test_dowhile_other.cpp | 0 .../tests}/method/test_empty_sensvty.cpp | 0 .../method/test_empty_sensvty1_fail.cpp | 0 .../method/test_empty_sensvty2_fail.cpp | 0 .../method/test_empty_sensvty3_fail.cpp | 0 .../method/test_empty_sensvty4_fail.cpp | 0 .../method/test_empty_sensvty_dupl_fail.cpp | 0 {tests => designs/tests}/method/test_enum.cpp | 0 .../method/test_explicit_specialz_templ.cpp | 0 .../tests}/method/test_explicit_type_conv.cpp | 0 .../tests}/method/test_fcall.cpp | 0 .../tests}/method/test_fcall_base.cpp | 0 .../tests}/method/test_fcall_const_eval.cpp | 0 .../tests}/method/test_fcall_const_eval2.cpp | 0 .../tests}/method/test_fcall_ref.cpp | 0 .../method/test_fcall_ref_arr_unkwn.cpp | 0 .../tests}/method/test_ff_latch.cpp | 0 {tests => designs/tests}/method/test_for.cpp | 0 .../tests}/method/test_for_fcall_fail.cpp | 0 .../method/test_forloop_other_types.cpp | 0 .../tests}/method/test_generic_fcall.cpp | 0 {tests => designs/tests}/method/test_if.cpp | 0 .../tests}/method/test_latch.cpp | 0 .../tests}/method/test_latch_fail.cpp | 0 .../tests}/method/test_level1.cpp | 0 .../tests}/method/test_level2.cpp | 0 .../tests}/method/test_level_error.cpp | 0 .../tests}/method/test_loop_cond_fail.cpp | 0 .../method/test_mix_signed_unsigned_types.cpp | 0 .../method/test_non_sensvty_arr_fail.cpp | 0 .../tests}/method/test_non_sensvty_fail.cpp | 0 .../tests}/method/test_pointers.cpp | 0 .../tests}/method/test_port_array_bind.cpp | 0 .../tests}/method/test_return.cpp | 0 .../tests}/method/test_return_fail.cpp | 0 .../tests}/method/test_sc_bv.cpp | 0 .../tests}/method/test_sc_int_const_array.cpp | 0 .../tests}/method/test_sc_types.cpp | 0 .../tests}/method/test_sc_types_fail.cpp | 0 .../tests}/method/test_shift_type_ext.cpp | 0 .../tests}/method/test_shifts_signed.cpp | 0 .../tests}/method/test_sig_array_access.cpp | 0 .../method/test_standard_collection.cpp | 0 .../tests}/method/test_std_array.cpp | 0 .../tests}/method/test_stdouts_prints.cpp | 0 .../tests}/method/test_string_liter.cpp | 0 .../tests}/method/test_switch.cpp | 0 .../tests}/method/test_switch_after.cpp | 0 .../tests}/method/test_switch_const.cpp | 0 .../tests}/method/test_switch_empty_case.cpp | 0 .../tests}/method/test_switch_empty_fail.cpp | 0 .../tests}/method/test_switch_enum.cpp | 0 .../tests}/method/test_switch_inside.cpp | 0 .../tests}/method/test_trace.cpp | 0 .../tests}/method/test_unary.cpp | 0 .../tests}/method/test_unary_sc.cpp | 0 .../method/test_unsupport_types_fail.cpp | 0 {tests => designs/tests}/method/test_var.cpp | 0 .../tests}/method/test_var_multiple_use.cpp | 0 .../tests}/method/test_var_multiple_use2.cpp | 0 .../tests}/method/test_var_multiple_use3.cpp | 0 .../tests}/method/test_var_ref.cpp | 0 .../tests}/method/test_var_ref_arr_unkwn.cpp | 0 .../tests}/method/test_var_ref_fail.cpp | 0 .../method/test_var_usedef_same_proc.cpp | 0 .../tests}/method/test_virtual1.cpp | 0 .../tests}/method/test_virtual2.cpp | 0 .../tests}/method/test_virtual3.cpp | 0 .../tests}/method/test_virtual4.cpp | 0 .../tests}/method/test_virtual_cast.cpp | 0 .../tests}/method/test_virtual_field.cpp | 0 .../tests}/method/test_virtual_pure.cpp | 0 .../tests}/method/test_vname.cpp | 0 .../tests}/method/test_while.cpp | 0 .../tests}/method/test_while_const.cpp | 0 .../tests}/method/test_while_fcall_fail.cpp | 0 .../tests}/method/test_while_other.cpp | 0 {tests => designs/tests}/mif/CMakeLists.txt | 0 {tests => designs/tests}/mif/cthread_mif.sv | 0 .../tests}/mif/cthread_mif_array_fcall.sv | 0 .../tests}/mif/cthread_mif_array_ptr.sv | 0 .../tests}/mif/cthread_mif_array_ptr2.sv | 0 .../tests}/mif/cthread_mif_array_ptr_unkwn.sv | 0 .../mif/cthread_mif_array_ptr_unkwn2.sv | 0 .../mif/cthread_mif_array_ptr_unkwn3.sv | 0 {tests => designs/tests}/mif/dttc2021.sv | 0 {tests => designs/tests}/mif/mif_array1.sv | 0 {tests => designs/tests}/mif/mif_array2.sv | 0 {tests => designs/tests}/mif/mif_array3.sv | 0 {tests => designs/tests}/mif/mif_array4.sv | 0 .../tests}/mif/mif_array_const_unkwn.sv | 0 .../tests}/mif/mif_array_differ.sv | 0 {tests => designs/tests}/mif/mif_array_ptr.sv | 0 .../tests}/mif/mif_array_ptr2.sv | 0 .../tests}/mif/mif_array_record_cthread.sv | 0 .../tests}/mif/mif_array_record_meth.sv | 0 .../tests}/mif/mif_array_sc_vector.sv | 0 .../tests}/mif/mif_array_sens.sv | 0 .../tests}/mif/mif_array_unkwn.sv | 0 .../tests}/mif/mif_array_with_chan.sv | 0 .../tests}/mif/mif_array_with_ports.sv | 0 .../tests}/mif/mif_array_with_ptr.sv | 0 .../tests}/mif/mif_array_with_ptr2.sv | 0 .../tests}/mif/mif_array_with_ptr3.sv | 0 .../tests}/mif/mif_array_with_ptr_array.sv | 0 {tests => designs/tests}/mif/mif_const1.sv | 0 {tests => designs/tests}/mif/mif_const2.sv | 0 .../tests}/mif/mif_glob_const.sv | 0 {tests => designs/tests}/mif/mif_inherit1.sv | 0 .../tests}/mif/mif_name_conflict1.sv | 0 .../tests}/mif/mif_name_conflict2.sv | 0 .../tests}/mif/mif_name_conflict3.sv | 0 .../tests}/mif/mif_record_cthread.sv | 0 .../tests}/mif/mif_record_meth.sv | 0 {tests => designs/tests}/mif/mif_sc_vector.sv | 0 .../tests}/mif/mif_sc_vector_mif1.sv | 0 .../tests}/mif/mif_sc_vector_mif2.sv | 0 .../tests}/mif/mif_sc_vector_mif3.sv | 0 .../tests}/mif/mif_sc_vector_mif4.sv | 0 .../tests}/mif/mif_sc_vector_mif5.sv | 0 .../tests}/mif/mif_sc_vector_mif6.sv | 0 .../tests}/mif/mif_sc_vector_ptr.sv | 0 {tests => designs/tests}/mif/mif_simple.sv | 0 {tests => designs/tests}/mif/mif_simple1.sv | 0 {tests => designs/tests}/mif/mif_simple2.sv | 0 {tests => designs/tests}/mif/mif_simple3.sv | 0 {tests => designs/tests}/mif/mif_simple4.sv | 0 {tests => designs/tests}/mif/mif_simple5.sv | 0 .../tests}/mif/mif_var_in_reset.sv | 0 .../tests}/mif/mif_var_multiple_use.sv | 0 .../tests}/mif/mif_with_chan_array.sv | 0 .../tests}/mif/test_access_violation1.cpp | 0 .../tests}/mif/test_array_heterogenous.cpp | 0 .../tests}/mif/test_array_record_cthread.cpp | 0 .../tests}/mif/test_array_record_meth.cpp | 0 .../tests}/mif/test_array_sc_vector.cpp | 0 .../tests}/mif/test_cthread_mif.cpp | 0 .../mif/test_cthread_mif_array_fcall.cpp | 0 .../tests}/mif/test_cthread_mif_array_ptr.cpp | 0 .../mif/test_cthread_mif_array_ptr2.cpp | 0 .../mif/test_cthread_mif_array_ptr_unkwn.cpp | 0 .../mif/test_cthread_mif_array_ptr_unkwn2.cpp | 0 .../mif/test_cthread_mif_array_ptr_unkwn3.cpp | 0 .../tests}/mif/test_dttc2021.cpp | 0 .../tests}/mif/test_glob_const.cpp | 0 .../tests}/mif/test_mif_array1.cpp | 0 .../tests}/mif/test_mif_array2.cpp | 0 .../tests}/mif/test_mif_array3.cpp | 0 .../tests}/mif/test_mif_array4.cpp | 0 .../tests}/mif/test_mif_array_const_unkwn.cpp | 0 .../tests}/mif/test_mif_array_differ.cpp | 0 .../tests}/mif/test_mif_array_ptr.cpp | 0 .../tests}/mif/test_mif_array_ptr2.cpp | 0 .../tests}/mif/test_mif_array_sens.cpp | 0 .../tests}/mif/test_mif_array_unkwn.cpp | 0 .../tests}/mif/test_mif_array_with_chan.cpp | 0 .../tests}/mif/test_mif_array_with_ports.cpp | 0 .../tests}/mif/test_mif_array_with_ptr.cpp | 0 .../tests}/mif/test_mif_array_with_ptr2.cpp | 0 .../tests}/mif/test_mif_array_with_ptr3.cpp | 0 .../mif/test_mif_array_with_ptr_array.cpp | 0 .../tests}/mif/test_mif_const1.cpp | 0 .../tests}/mif/test_mif_const2.cpp | 0 .../tests}/mif/test_mif_inherit1.cpp | 0 .../tests}/mif/test_mif_simple1.cpp | 0 .../tests}/mif/test_mif_simple2.cpp | 0 .../tests}/mif/test_mif_simple3.cpp | 0 .../tests}/mif/test_mif_simple4.cpp | 0 .../tests}/mif/test_mif_simple5.cpp | 0 .../tests}/mif/test_mif_var_multiple_use.cpp | 0 .../tests}/mif/test_mif_with_chan_array.cpp | 0 .../tests}/mif/test_name_conflict1.cpp | 0 .../tests}/mif/test_name_conflict2.cpp | 0 .../tests}/mif/test_name_conflict3.cpp | 0 .../tests}/mif/test_record_cthread.cpp | 0 .../tests}/mif/test_record_meth.cpp | 0 .../tests}/mif/test_sc_vector.cpp | 0 .../tests}/mif/test_sc_vector_mif1.cpp | 0 .../tests}/mif/test_sc_vector_mif2.cpp | 0 .../tests}/mif/test_sc_vector_mif3.cpp | 0 .../tests}/mif/test_sc_vector_mif4.cpp | 0 .../tests}/mif/test_sc_vector_mif5.cpp | 0 .../tests}/mif/test_sc_vector_mif6.cpp | 0 .../tests}/mif/test_sc_vector_ptr.cpp | 0 .../tests}/mif/test_var_in_reset.cpp | 0 {tests => designs/tests}/misc/CMakeLists.txt | 0 .../tests}/misc/misc_array_in_top.sv | 0 .../tests}/misc/misc_array_module.sv | 0 .../tests}/misc/misc_array_ptr_noninit.sv | 0 .../tests}/misc/misc_array_to_pointer.sv | 0 .../tests}/misc/misc_comb_signal.sv | 0 .../tests}/misc/misc_comb_signal_clear.sv | 0 .../tests}/misc/misc_const_array.sv | 0 .../tests}/misc/misc_const_enum_namespace.sv | 0 .../tests}/misc/misc_const_global.sv | 0 .../tests}/misc/misc_const_init.sv | 0 .../tests}/misc/misc_const_init_fcall.sv | 0 .../tests}/misc/misc_const_keep_var1.sv | 0 .../tests}/misc/misc_const_keep_var2.sv | 0 .../tests}/misc/misc_const_keep_var3.sv | 0 .../tests}/misc/misc_const_large.sv | 0 .../tests}/misc/misc_const_pointer.sv | 0 .../tests}/misc/misc_const_radix.sv | 0 .../tests}/misc/misc_const_remove.sv | 0 .../tests}/misc/misc_constexpr.sv | 0 .../tests}/misc/misc_cross_hierarchy_bind1.sv | 0 .../tests}/misc/misc_cross_hierarchy_bind2.sv | 0 .../tests}/misc/misc_cross_hierarchy_bind3.sv | 0 .../tests}/misc/misc_dynamic_array.sv | 0 .../tests}/misc/misc_dynamic_ports.sv | 0 .../tests}/misc/misc_empty_process.sv | 0 .../tests}/misc/misc_fcall_array.sv | 0 .../tests}/misc/misc_fcall_array_unknown.sv | 0 .../tests}/misc/misc_fcall_empty.sv | 0 .../tests}/misc/misc_fcall_in_loop_cond.sv | 0 .../tests}/misc/misc_fcall_loop.sv | 0 .../tests}/misc/misc_fcall_multi_state.sv | 0 .../tests}/misc/misc_fcall_params.sv | 0 .../tests}/misc/misc_init_local_var1.sv | 0 .../tests}/misc/misc_init_local_var2.sv | 0 .../tests}/misc/misc_init_local_var2_comb.sv | 0 .../tests}/misc/misc_init_reset_local_var.sv | 0 .../misc/misc_init_reset_local_var_comb.sv | 0 .../tests}/misc/misc_keep_template_param.sv | 0 .../tests}/misc/misc_large_array.sv | 0 .../tests}/misc/misc_localparam.sv | 0 .../tests}/misc/misc_mif_array_differ.sv | 0 .../tests}/misc/misc_mod_array_differ.sv | 0 .../tests}/misc/misc_module_base_array.sv | 0 .../tests}/misc/misc_module_binds_array.sv | 0 .../tests}/misc/misc_module_binds_double.sv | 0 .../tests}/misc/misc_module_binds_simple.sv | 0 .../tests}/misc/misc_module_port_array.sv | 0 .../tests}/misc/misc_module_port_sig.sv | 0 .../tests}/misc/misc_module_prefix.sv | 0 .../tests}/misc/misc_module_sections.sv | 0 .../tests}/misc/misc_module_typedef.sv | 0 .../tests}/misc/misc_multi_bind1.sv | 0 .../tests}/misc/misc_multi_bind2.sv | 0 .../tests}/misc/misc_multiple_pointers_mod.sv | 0 .../tests}/misc/misc_multiple_pointers_var.sv | 0 .../tests}/misc/misc_name_conflict0.sv | 0 .../tests}/misc/misc_name_conflict1.sv | 0 .../tests}/misc/misc_name_conflict2.sv | 0 .../tests}/misc/misc_name_conflict3.sv | 0 .../tests}/misc/misc_name_conflict4.sv | 0 .../tests}/misc/misc_name_conflict5.sv | 0 .../tests}/misc/misc_name_conflict6.sv | 0 .../tests}/misc/misc_name_conflict7.sv | 0 .../tests}/misc/misc_pointer_null_arr.sv | 0 .../tests}/misc/misc_pointer_warning.sv | 0 .../tests}/misc/misc_proc_kind.sv | 0 .../tests}/misc/misc_process_simple.sv | 0 .../tests}/misc/misc_promote_port_types.sv | 0 .../tests}/misc/misc_promote_ports.sv | 0 .../tests}/misc/misc_promote_ports_2.sv | 0 .../tests}/misc/misc_promote_ports_simple.sv | 0 .../tests}/misc/misc_read_notdef_chan.sv | 0 .../tests}/misc/misc_read_notdef_var.sv | 0 .../tests}/misc/misc_reference_met.sv | 0 {tests => designs/tests}/misc/misc_sc_port.sv | 0 .../tests}/misc/misc_sc_port3.sv | 0 .../tests}/misc/misc_sc_port4.sv | 0 .../tests}/misc/misc_sc_port_dyn.sv | 0 .../tests}/misc/misc_sc_port_ptr.sv | 0 .../tests}/misc/misc_sc_port_ptr2.sv | 0 .../tests}/misc/misc_sc_port_ptr_dyn.sv | 0 .../tests}/misc/misc_sc_vector1.sv | 0 .../tests}/misc/misc_sc_vector2.sv | 0 .../tests}/misc/misc_sig_access_reset.sv | 0 .../tests}/misc/misc_signal_reset.sv | 0 .../tests}/misc/misc_single_source.sv | 0 .../tests}/misc/misc_single_state_thread.sv | 0 .../tests}/misc/misc_statistic.sv | 0 {tests => designs/tests}/misc/misc_string.sv | 0 .../tests}/misc/misc_sva_assert.sv | 0 .../tests}/misc/misc_sva_generate.sv | 0 .../tests}/misc/misc_sva_generate_base_mod.sv | 0 .../tests}/misc/misc_sva_generate_mod.sv | 0 .../tests}/misc/misc_sva_generate_proc.sv | 0 .../misc/misc_sva_generate_proc_loop.sv | 0 .../misc/misc_sva_generate_proc_nogen.sv | 0 .../tests}/misc/misc_sva_generate_proc_off.sv | 0 .../tests}/misc/misc_sva_generate_stable.sv | 0 .../tests}/misc/misc_unsigned_mode.sv | 0 .../tests}/misc/misc_unused_remove_local.sv | 0 .../tests}/misc/misc_unused_remove_member.sv | 0 .../tests}/misc/misc_unused_remove_mif.sv | 0 .../tests}/misc/misc_vector_in_top.sv | 0 .../tests}/misc/misc_vendor_memory.sv | 0 .../tests}/misc/misc_vendor_memory_if.sv | 0 .../tests}/misc/misc_vendor_memory_sem.sv | 0 .../tests}/misc/misc_verilog_intrinsic.sv | 0 .../tests}/misc/misc_verilog_intrinsic2.sv | 0 .../tests}/misc/misc_verilog_intrinsic3.sv | 0 .../tests}/misc/misc_verilog_keyword.sv | 0 .../tests}/misc/test_array_in_top.cpp | 0 .../tests}/misc/test_array_module.cpp | 0 .../tests}/misc/test_array_module_fail.cpp | 0 .../tests}/misc/test_array_no_indx_fail.cpp | 0 .../tests}/misc/test_array_ptr_noninit.cpp | 0 .../tests}/misc/test_array_to_pointer.cpp | 0 .../misc/test_array_to_pointer_fail.cpp | 0 .../tests}/misc/test_comb_signal.cpp | 0 .../tests}/misc/test_comb_signal_clear.cpp | 0 .../tests}/misc/test_comb_signal_fail.cpp | 0 .../misc/test_cond_side_effect_fail.cpp | 0 .../tests}/misc/test_const_array.cpp | 0 .../tests}/misc/test_const_enum_namespace.cpp | 0 .../tests}/misc/test_const_global.cpp | 0 .../tests}/misc/test_const_init.cpp | 0 .../tests}/misc/test_const_init_fcall.cpp | 0 .../tests}/misc/test_const_keep_var1.cpp | 0 .../tests}/misc/test_const_keep_var2.cpp | 0 .../tests}/misc/test_const_keep_var3.cpp | 0 .../tests}/misc/test_const_large.cpp | 0 .../tests}/misc/test_const_pointer.cpp | 0 .../tests}/misc/test_const_radix.cpp | 0 .../tests}/misc/test_const_remove.cpp | 0 .../tests}/misc/test_constexpr.cpp | 0 .../misc/test_cross_hierarchy_bind1.cpp | 0 .../misc/test_cross_hierarchy_bind2.cpp | 0 .../misc/test_cross_hierarchy_bind3.cpp | 0 .../tests}/misc/test_dac2019_apb.cpp | 0 .../tests}/misc/test_dac2019_apb.sv | 0 .../tests}/misc/test_demo_nov27.cpp | 0 .../tests}/misc/test_demo_nov27.sv | 0 .../tests}/misc/test_double_alloc.cpp | 0 .../tests}/misc/test_dynamic_array.cpp | 0 .../tests}/misc/test_dynamic_ports.cpp | 0 .../tests}/misc/test_empty_process.cpp | 0 .../tests}/misc/test_fcall_array.cpp | 0 .../tests}/misc/test_fcall_array_unknown.cpp | 0 .../tests}/misc/test_fcall_empty.cpp | 0 .../tests}/misc/test_fcall_in_loop_cond.cpp | 0 .../tests}/misc/test_fcall_loop.cpp | 0 .../tests}/misc/test_fcall_multi_state.cpp | 0 .../tests}/misc/test_fcall_params.cpp | 0 .../tests}/misc/test_fcall_return_fail1.cpp | 0 .../tests}/misc/test_fcall_return_fail2.cpp | 0 .../tests}/misc/test_fcall_return_fail3.cpp | 0 .../tests}/misc/test_fcall_return_fail4.cpp | 0 .../tests}/misc/test_init_local_var1.cpp | 0 .../tests}/misc/test_init_local_var2.cpp | 0 .../tests}/misc/test_init_reset_local_var.cpp | 0 .../tests}/misc/test_keep_template_param.cpp | 0 .../tests}/misc/test_large_array.cpp | 0 .../tests}/misc/test_localparam.cpp | 0 .../tests}/misc/test_loop_return_fail.cpp | 0 .../tests}/misc/test_mif_array_differ.cpp | 0 .../tests}/misc/test_mod_array_differ.cpp | 0 .../tests}/misc/test_module_base_array.cpp | 0 .../tests}/misc/test_module_binds_array.cpp | 0 .../tests}/misc/test_module_binds_double.cpp | 0 .../tests}/misc/test_module_binds_simple.cpp | 0 .../tests}/misc/test_module_port_array.cpp | 0 .../tests}/misc/test_module_port_sig.cpp | 0 .../tests}/misc/test_module_prefix.cpp | 0 .../tests}/misc/test_module_sections.cpp | 0 .../tests}/misc/test_module_typedef.cpp | 0 .../tests}/misc/test_multi_bind1.cpp | 0 .../tests}/misc/test_multi_bind2.cpp | 0 .../tests}/misc/test_multi_bind_fail.cpp | 0 .../misc/test_multiple_pointers_mod.cpp | 0 .../misc/test_multiple_pointers_var.cpp | 0 .../tests}/misc/test_name_conflict0.cpp | 0 .../tests}/misc/test_name_conflict1.cpp | 0 .../tests}/misc/test_name_conflict2.cpp | 0 .../tests}/misc/test_name_conflict3.cpp | 0 .../tests}/misc/test_name_conflict4.cpp | 0 .../tests}/misc/test_name_conflict5.cpp | 0 .../tests}/misc/test_name_conflict6.cpp | 0 .../tests}/misc/test_name_conflict7.cpp | 0 .../tests}/misc/test_neg_range_fail.cpp | 0 .../misc/test_pointer_dangling2_fail.cpp | 0 .../misc/test_pointer_dangling3_fail.cpp | 0 .../misc/test_pointer_dangling_fail.cpp | 0 .../tests}/misc/test_pointer_null2_fail.cpp | 0 .../tests}/misc/test_pointer_null3_fail.cpp | 0 .../tests}/misc/test_pointer_null_arr.cpp | 0 .../misc/test_pointer_null_arr_fail.cpp | 0 .../tests}/misc/test_pointer_null_fail.cpp | 0 .../tests}/misc/test_pointer_warning.cpp | 0 .../tests}/misc/test_process_simple.cpp | 0 .../tests}/misc/test_promote_port_types.cpp | 0 .../tests}/misc/test_promote_ports.cpp | 0 .../tests}/misc/test_promote_ports_simple.cpp | 0 .../tests}/misc/test_read_notdef_chan.cpp | 0 .../tests}/misc/test_read_notdef_var.cpp | 0 .../tests}/misc/test_reference_met.cpp | 0 .../tests}/misc/test_reg_array_target.cpp | 0 .../tests}/misc/test_sc_port.cpp | 0 .../tests}/misc/test_sc_port2.cpp | 0 .../tests}/misc/test_sc_port3.cpp | 0 .../tests}/misc/test_sc_port4.cpp | 0 .../tests}/misc/test_sc_port_array1.cpp | 0 .../tests}/misc/test_sc_port_array2.cpp | 0 .../tests}/misc/test_sc_port_array_bug.cpp | 0 .../tests}/misc/test_sc_port_dyn.cpp | 0 .../tests}/misc/test_sc_port_ptr.cpp | 0 .../tests}/misc/test_sc_port_ptr2.cpp | 0 .../tests}/misc/test_sc_port_ptr_dyn.cpp | 0 .../tests}/misc/test_sc_vector1.cpp | 0 .../tests}/misc/test_sc_vector2.cpp | 0 .../tests}/misc/test_sensitivity.cpp | 0 .../tests}/misc/test_sig_access_reset.cpp | 0 .../tests}/misc/test_signal_reset.cpp | 0 .../tests}/misc/test_single_state_thread.cpp | 0 .../tests}/misc/test_statistic.cpp | 0 {tests => designs/tests}/misc/test_string.cpp | 0 .../tests}/misc/test_sva_assert.cpp | 0 .../tests}/misc/test_sva_generate.cpp | 0 .../misc/test_sva_generate_base_mod.cpp | 0 .../misc/test_sva_generate_func_fail1.cpp | 0 .../misc/test_sva_generate_func_fail2.cpp | 0 .../tests}/misc/test_sva_generate_mod.cpp | 0 .../misc/test_sva_generate_mod_fail.cpp | 0 .../tests}/misc/test_sva_generate_proc.cpp | 0 .../misc/test_sva_generate_proc_fail.cpp | 0 .../misc/test_sva_generate_proc_loop.cpp | 0 .../misc/test_sva_generate_proc_nogen.cpp | 0 .../misc/test_sva_generate_proc_off.cpp | 0 .../tests}/misc/test_sva_generate_stable.cpp | 0 .../tests}/misc/test_unsigned_mode.cpp | 0 .../tests}/misc/test_unused_remove_local.cpp | 0 .../tests}/misc/test_unused_remove_member.cpp | 0 .../tests}/misc/test_unused_remove_mif.cpp | 0 .../tests}/misc/test_vector_in_top.cpp | 0 .../tests}/misc/test_vendor_memory.cpp | 0 .../tests}/misc/test_vendor_memory_fail.cpp | 0 .../tests}/misc/test_vendor_memory_if.cpp | 0 .../tests}/misc/test_vendor_memory_sem.cpp | 0 .../tests}/misc/test_verilog_intrinsic.cpp | 0 .../tests}/misc/test_verilog_intrinsic2.cpp | 0 .../tests}/misc/test_verilog_intrinsic3.cpp | 0 .../misc/test_verilog_intrinsic_fail.cpp | 0 .../tests}/misc/test_verilog_keyword.cpp | 0 .../tests}/misc/test_zero_width_fail.cpp | 0 .../tests}/record/CMakeLists.txt | 0 .../tests}/record/record_array_cthread.sv | 0 .../record/record_array_decl_in_scope.sv | 0 .../record/record_array_fcall_method.sv | 0 .../tests}/record/record_array_if_method.sv | 0 .../record/record_array_loops_method.sv | 0 .../tests}/record/record_array_method.sv | 0 .../tests}/record/record_array_method2.sv | 0 .../record/record_array_unknown_cthread.sv | 0 .../record/record_array_unknown_method.sv | 0 .../record/record_array_var_indices_method.sv | 0 .../record/record_assign_concat_cthread.sv | 0 .../record/record_assign_concat_method.sv | 0 .../tests}/record/record_base_multi_record.sv | 0 .../tests}/record/record_base_record0.sv | 0 .../tests}/record/record_base_record1.sv | 0 .../tests}/record/record_base_record2.sv | 0 .../tests}/record/record_complex_method.sv | 0 .../tests}/record/record_fcall_cthread.sv | 0 .../record/record_fcall_glob_cthread.sv | 0 .../tests}/record/record_func_in_ctor.sv | 0 .../record/record_func_param_cthread.sv | 0 .../tests}/record/record_func_param_method.sv | 0 .../tests}/record/record_if_method.sv | 0 .../tests}/record/record_member_array_copy.sv | 0 .../record/record_member_array_cthread.sv | 0 .../record/record_member_array_method1.sv | 0 .../record/record_member_array_method2.sv | 0 .../record/record_member_array_method3.sv | 0 .../record/record_member_array_unkwn.sv | 0 .../record/record_member_func_cthread.sv | 0 .../record/record_member_func_method.sv | 0 .../tests}/record/record_member_record1.sv | 0 .../record/record_member_record_func.sv | 0 .../tests}/record/record_ptr_arr_cthread.sv | 0 .../tests}/record/record_ptr_arr_method.sv | 0 .../tests}/record/record_read_defined.sv | 0 .../tests}/record/record_reg_arr_cthread.sv | 0 .../tests}/record/record_reg_cthread0.sv | 0 .../tests}/record/record_reg_cthread1.sv | 0 .../tests}/record/record_reg_func_cthread1.sv | 0 .../tests}/record/record_reg_func_cthread2.sv | 0 .../record/record_return_assert_method.sv | 0 .../tests}/record/record_return_cthread.sv | 0 .../tests}/record/record_return_cthread1.sv | 0 .../tests}/record/record_return_cthread2.sv | 0 .../tests}/record/record_return_cthread3.sv | 0 .../tests}/record/record_return_method.sv | 0 .../record_return_static_func_cthread.sv | 0 .../tests}/record/record_simple_method.sv | 0 .../tests}/record/record_simple_method3.sv | 0 .../tests}/record/record_wait_call.sv | 0 .../tests}/record/test_array_cthread.cpp | 0 .../record/test_array_decl_in_scope.cpp | 0 .../tests}/record/test_array_fcall_method.cpp | 0 .../tests}/record/test_array_if_method.cpp | 0 .../tests}/record/test_array_loops_method.cpp | 0 .../tests}/record/test_array_method.cpp | 0 .../tests}/record/test_array_method2.cpp | 0 .../record/test_array_unknown_cthread.cpp | 0 .../record/test_array_unknown_method.cpp | 0 .../record/test_array_var_indices_method.cpp | 0 .../record/test_assign_concat_cthread.cpp | 0 .../record/test_assign_concat_method.cpp | 0 .../tests}/record/test_base_multi_record.cpp | 0 .../tests}/record/test_base_record0.cpp | 0 .../tests}/record/test_base_record1.cpp | 0 .../tests}/record/test_base_record2.cpp | 0 .../tests}/record/test_chan_type.cpp | 0 .../tests}/record/test_complex_method.cpp | 0 .../tests}/record/test_fcall_cthread.cpp | 0 .../tests}/record/test_fcall_glob_cthread.cpp | 0 .../tests}/record/test_func_in_ctor.cpp | 0 .../tests}/record/test_func_param_cthread.cpp | 0 .../tests}/record/test_func_param_method.cpp | 0 .../tests}/record/test_if_method.cpp | 0 .../tests}/record/test_member_array_copy.cpp | 0 .../record/test_member_array_cthread.cpp | 0 .../record/test_member_array_method1.cpp | 0 .../record/test_member_array_method2.cpp | 0 .../record/test_member_array_method3.cpp | 0 .../tests}/record/test_member_array_unkwn.cpp | 0 .../record/test_member_func_cthread.cpp | 0 .../tests}/record/test_member_func_method.cpp | 0 .../tests}/record/test_member_record1.cpp | 0 .../tests}/record/test_member_record_func.cpp | 0 .../tests}/record/test_ptr_arr_cthread.cpp | 0 .../tests}/record/test_ptr_arr_method.cpp | 0 .../tests}/record/test_read_defined.cpp | 0 .../tests}/record/test_reg_arr_cthread.cpp | 0 .../tests}/record/test_reg_cthread0.cpp | 0 .../tests}/record/test_reg_cthread1.cpp | 0 .../tests}/record/test_reg_func_cthread1.cpp | 0 .../tests}/record/test_reg_func_cthread2.cpp | 0 .../record/test_return_assert_method.cpp | 0 .../tests}/record/test_return_cthread.cpp | 0 .../tests}/record/test_return_cthread1.cpp | 0 .../tests}/record/test_return_cthread2.cpp | 0 .../tests}/record/test_return_cthread3.cpp | 0 .../tests}/record/test_return_method.cpp | 0 .../test_return_static_func_cthread.cpp | 0 .../tests}/record/test_simple_cthread.cpp | 0 .../tests}/record/test_simple_method.cpp | 0 .../tests}/record/test_simple_method3.cpp | 0 .../tests}/record/test_wait_call.cpp | 0 {tests => designs/tests}/state/CMakeLists.txt | 0 .../tests}/state/test_method_basic.cpp | 0 .../tests}/state/test_state_array_el_ptr.cpp | 0 .../tests}/state/test_state_array_int.cpp | 0 .../tests}/state/test_state_bases.cpp | 0 .../tests}/state/test_state_dyn_sig.cpp | 0 .../tests}/state/test_state_dynalloc.cpp | 0 .../tests}/state/test_state_init.cpp | 0 .../tests}/state/test_state_mdim_array.cpp | 0 .../tests}/state/test_state_pointers.cpp | 0 .../tests}/state/test_state_sc_vector.cpp | 0 .../tests}/state/test_state_static_const.cpp | 0 .../tests}/state/test_state_struct_member.cpp | 0 .../tests}/uniquify/CMakeLists.txt | 0 .../tests}/uniquify/test_uniquify_basic.cpp | 0 .../tests}/uniquify/test_uniquify_basic.sv | 0 .../uniquify/test_uniquify_cross_bind.cpp | 0 .../uniquify/test_uniquify_cross_bind.sv | 0 .../tests}/uniquify/test_uniquify_proc.cpp | 0 .../tests}/uniquify/test_uniquify_proc.sv | 0 tests/CMakeLists.txt | 9 ----- 942 files changed, 85 insertions(+), 10 deletions(-) delete mode 100644 designs/CMakeLists.txt create mode 100644 designs/README rename {examples => designs/examples}/CMakeLists.txt (56%) rename {examples => designs/examples}/Dma/CMakeLists.txt (100%) rename {examples => designs/examples}/Dma/GenDma_sc.h (100%) rename {examples => designs/examples}/Dma/GenDma_tb.cpp (100%) rename {examples => designs/examples}/Dma/register.h (100%) rename {examples => designs/examples}/asserts/CMakeLists.txt (100%) rename {examples => designs/examples}/asserts/imm_assert.cpp (100%) rename {examples => designs/examples}/asserts/temp_assert.cpp (100%) rename {examples => designs/examples}/counter/CMakeLists.txt (100%) rename {examples => designs/examples}/counter/dut.h (100%) rename {examples => designs/examples}/counter/example.cpp (100%) rename {examples => designs/examples}/decoder/CMakeLists.txt (100%) rename {examples => designs/examples}/decoder/dut.h (100%) rename {examples => designs/examples}/decoder/example.cpp (100%) rename {examples => designs/examples}/dvcon20/AdvFifo.h (100%) rename {examples => designs/examples}/dvcon20/CMakeLists.txt (100%) rename {examples => designs/examples}/dvcon20/dvcon_fifo.sv (100%) rename {examples => designs/examples}/dvcon20/dvcon_simple.sv (100%) rename {examples => designs/examples}/dvcon20/test_fifo.cpp (100%) rename {examples => designs/examples}/dvcon20/test_simple.cpp (100%) rename {examples => designs/examples}/fsm/CMakeLists.txt (100%) rename {examples => designs/examples}/fsm/dut.h (100%) rename {examples => designs/examples}/fsm/example.cpp (100%) rename {examples => designs/examples}/int_error/CMakeLists.txt (100%) rename {examples => designs/examples}/int_error/example.cpp (100%) rename {examples => designs/examples}/intrinsic/CMakeLists.txt (100%) rename {examples => designs/examples}/intrinsic/verilog_intrinsic.cpp (100%) rename {examples => designs/examples}/latch_ff/CMakeLists.txt (100%) rename {examples => designs/examples}/latch_ff/dut.h (100%) rename {examples => designs/examples}/latch_ff/example.cpp (100%) create mode 100644 designs/tests/CMakeLists.txt rename {tests => designs/tests}/const_prop/CMakeLists.txt (100%) rename {tests => designs/tests}/const_prop/const_negative.sv (100%) rename {tests => designs/tests}/const_prop/test_const_negative.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_basic.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_cthread.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_cthread_wait_return.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_dead_state.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_deep_fork.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_deep_wait.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_dowhile.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_eval_stmts.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_fcall_simple.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_float.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_fork_break.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_fork_continue.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_fork_inner_loop.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_fork_return.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_inner_loop_wait.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_logic_term.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_loops.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_many_forks.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_multiple_inheritance.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_recursive.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_sc_dt.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_sc_dt_unsupported.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_stop_wait.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_switch.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_unknown_iters.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_virtual_calls.cpp (100%) rename {tests => designs/tests}/const_prop/test_const_prop_virtual_inheritance.cpp (100%) rename {tests => designs/tests}/const_prop/test_nonsynth_cant_unroll.cpp (100%) rename {tests => designs/tests}/cthread/CMakeLists.txt (100%) rename {tests => designs/tests}/cthread/bhv_fifo.sv (100%) rename {tests => designs/tests}/cthread/cthread_alive_loop.sv (100%) rename {tests => designs/tests}/cthread/cthread_array.sv (100%) rename {tests => designs/tests}/cthread/cthread_array_access.sv (100%) rename {tests => designs/tests}/cthread/cthread_array_comb_reg.sv (100%) rename {tests => designs/tests}/cthread/cthread_break.sv (100%) rename {tests => designs/tests}/cthread/cthread_break_via_func.sv (100%) rename {tests => designs/tests}/cthread/cthread_concat.sv (100%) rename {tests => designs/tests}/cthread/cthread_const_array.sv (100%) rename {tests => designs/tests}/cthread/cthread_const_prop.sv (100%) rename {tests => designs/tests}/cthread/cthread_continue.sv (100%) rename {tests => designs/tests}/cthread/cthread_do_while.sv (100%) rename {tests => designs/tests}/cthread/cthread_do_while_always1.sv (100%) rename {tests => designs/tests}/cthread/cthread_do_while_const.sv (100%) rename {tests => designs/tests}/cthread/cthread_do_while_other_types.sv (100%) rename {tests => designs/tests}/cthread/cthread_duplicate_states.sv (100%) rename {tests => designs/tests}/cthread/cthread_fcall.sv (100%) rename {tests => designs/tests}/cthread/cthread_fcall_const_eval.sv (100%) rename {tests => designs/tests}/cthread/cthread_fcall_in_reset.sv (100%) rename {tests => designs/tests}/cthread/cthread_fcall_ref.sv (100%) rename {tests => designs/tests}/cthread/cthread_for.sv (100%) rename {tests => designs/tests}/cthread/cthread_for_cntr_scint.sv (100%) rename {tests => designs/tests}/cthread/cthread_for_if.sv (100%) rename {tests => designs/tests}/cthread/cthread_for_other_types.sv (100%) rename {tests => designs/tests}/cthread/cthread_if.sv (100%) rename {tests => designs/tests}/cthread/cthread_if_const.sv (100%) rename {tests => designs/tests}/cthread/cthread_initvar_inf_loop.sv (100%) rename {tests => designs/tests}/cthread/cthread_inner_loops.sv (100%) rename {tests => designs/tests}/cthread/cthread_mif_array_differ.sv (100%) rename {tests => designs/tests}/cthread/cthread_mod_array_differ.sv (100%) rename {tests => designs/tests}/cthread/cthread_mult_resets.sv (100%) rename {tests => designs/tests}/cthread/cthread_multi_wait_reset.sv (100%) rename {tests => designs/tests}/cthread/cthread_multiwait.sv (100%) rename {tests => designs/tests}/cthread/cthread_pointer_to_bool.sv (100%) rename {tests => designs/tests}/cthread/cthread_pointers.sv (100%) rename {tests => designs/tests}/cthread/cthread_read_defined.sv (100%) rename {tests => designs/tests}/cthread/cthread_read_defined_0.sv (100%) rename {tests => designs/tests}/cthread/cthread_read_defined_1.sv (100%) rename {tests => designs/tests}/cthread/cthread_read_defined_2.sv (100%) rename {tests => designs/tests}/cthread/cthread_read_defined_3.sv (100%) rename {tests => designs/tests}/cthread/cthread_read_defined_param1.sv (100%) rename {tests => designs/tests}/cthread/cthread_read_defined_param2.sv (100%) rename {tests => designs/tests}/cthread/cthread_read_defined_param3.sv (100%) rename {tests => designs/tests}/cthread/cthread_read_defined_param4.sv (100%) rename {tests => designs/tests}/cthread/cthread_read_defined_ref.sv (100%) rename {tests => designs/tests}/cthread/cthread_read_defined_unknown.sv (100%) rename {tests => designs/tests}/cthread/cthread_read_only.sv (100%) rename {tests => designs/tests}/cthread/cthread_redundant_code.sv (100%) rename {tests => designs/tests}/cthread/cthread_reset.sv (100%) rename {tests => designs/tests}/cthread/cthread_reset_after.sv (100%) rename {tests => designs/tests}/cthread/cthread_reset_fail.sv (100%) rename {tests => designs/tests}/cthread/cthread_reset_noninit_read.sv (100%) rename {tests => designs/tests}/cthread/cthread_reset_warning.sv (100%) rename {tests => designs/tests}/cthread/cthread_return.sv (100%) rename {tests => designs/tests}/cthread/cthread_sel_bit.sv (100%) rename {tests => designs/tests}/cthread/cthread_sel_range.sv (100%) rename {tests => designs/tests}/cthread/cthread_simple.sv (100%) rename {tests => designs/tests}/cthread/cthread_simple2.sv (100%) rename {tests => designs/tests}/cthread/cthread_simple_reset.sv (100%) rename {tests => designs/tests}/cthread/cthread_switch.sv (100%) rename {tests => designs/tests}/cthread/cthread_switch_after.sv (100%) rename {tests => designs/tests}/cthread/cthread_switch_default.sv (100%) rename {tests => designs/tests}/cthread/cthread_switch_empty_case.sv (100%) rename {tests => designs/tests}/cthread/cthread_switch_inside.sv (100%) rename {tests => designs/tests}/cthread/cthread_sync_async_reset.sv (100%) rename {tests => designs/tests}/cthread/cthread_var_comb.sv (100%) rename {tests => designs/tests}/cthread/cthread_var_const.sv (100%) rename {tests => designs/tests}/cthread/cthread_var_multiple_use.sv (100%) rename {tests => designs/tests}/cthread/cthread_var_ref.sv (100%) rename {tests => designs/tests}/cthread/cthread_var_reg.sv (100%) rename {tests => designs/tests}/cthread/cthread_varname.sv (100%) rename {tests => designs/tests}/cthread/cthread_virtual_wait.sv (100%) rename {tests => designs/tests}/cthread/cthread_wait_n.sv (100%) rename {tests => designs/tests}/cthread/cthread_wait_states.sv (100%) rename {tests => designs/tests}/cthread/cthread_while.sv (100%) rename {tests => designs/tests}/cthread/cthread_while_const.sv (100%) rename {tests => designs/tests}/cthread/cthread_while_other_types.sv (100%) rename {tests => designs/tests}/cthread/rtl_fifo.sv (100%) rename {tests => designs/tests}/cthread/simple_fsm.sv (100%) rename {tests => designs/tests}/cthread/test_bhv_fifo.cpp (100%) rename {tests => designs/tests}/cthread/test_break.cpp (100%) rename {tests => designs/tests}/cthread/test_continue.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_alive_loop.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_array.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_array_access.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_array_comb_reg.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_break_via_func.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_concat.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_const_array.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_const_fail.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_const_prop.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_duplicate_states.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_fcall.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_fcall_const_eval.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_for.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_for_cntr_scint.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_for_if.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_for_other_types.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_initvar_inf_loop.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_inner_loops.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_loop_fail.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_loop_fail2.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_loop_fail3.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_loop_fail4.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_loop_fail5.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_loop_fail6.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_loop_fail7.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_mult_resets.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_multi_wait_reset.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_read_defined.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_read_defined_0.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_read_defined_1.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_read_defined_2.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_read_defined_3.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_read_defined_param1.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_read_defined_param2.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_read_defined_param3.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_read_defined_param4.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_read_defined_ref.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_read_defined_unknown.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_read_only.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_reset.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_reset_after.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_reset_fail.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_reset_warning.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_sel_bit.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_sel_range.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_simple.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_simple2.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_simple_reset.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_switch.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_switch_after.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_switch_default.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_switch_empty_case.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_switch_inside.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_sync_async_reset.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_var_comb.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_var_const.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_var_multiple_use.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_var_ref.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_var_reg.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_varname.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_virtual_wait.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_wait_n.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_wait_n_fail.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_wait_n_fail2.cpp (100%) rename {tests => designs/tests}/cthread/test_cthread_wait_states.cpp (100%) rename {tests => designs/tests}/cthread/test_do_while.cpp (100%) rename {tests => designs/tests}/cthread/test_do_while_always1.cpp (100%) rename {tests => designs/tests}/cthread/test_do_while_const.cpp (100%) rename {tests => designs/tests}/cthread/test_do_while_other_types.cpp (100%) rename {tests => designs/tests}/cthread/test_fcall_in_reset.cpp (100%) rename {tests => designs/tests}/cthread/test_fcall_loop_fail.cpp (100%) rename {tests => designs/tests}/cthread/test_fcall_ref.cpp (100%) rename {tests => designs/tests}/cthread/test_if.cpp (100%) rename {tests => designs/tests}/cthread/test_if_const.cpp (100%) rename {tests => designs/tests}/cthread/test_mif_array_differ.cpp (100%) rename {tests => designs/tests}/cthread/test_mod_array_differ.cpp (100%) rename {tests => designs/tests}/cthread/test_no_reset_fail.cpp (100%) rename {tests => designs/tests}/cthread/test_pointer_to_bool.cpp (100%) rename {tests => designs/tests}/cthread/test_pointers.cpp (100%) rename {tests => designs/tests}/cthread/test_reset_noninit_read.cpp (100%) rename {tests => designs/tests}/cthread/test_return.cpp (100%) rename {tests => designs/tests}/cthread/test_rtl_fifo.cpp (100%) rename {tests => designs/tests}/cthread/test_while.cpp (100%) rename {tests => designs/tests}/cthread/test_while_const.cpp (100%) rename {tests => designs/tests}/cthread/test_while_other_types.cpp (100%) rename {tests => designs/tests}/method/CMakeLists.txt (100%) rename {tests => designs/tests}/method/method_array.sv (100%) rename {tests => designs/tests}/method/method_array_in_if.sv (100%) rename {tests => designs/tests}/method/method_array_init.sv (100%) rename {tests => designs/tests}/method/method_array_param.sv (100%) rename {tests => designs/tests}/method/method_array_return.sv (100%) rename {tests => designs/tests}/method/method_array_unknown.sv (100%) rename {tests => designs/tests}/method/method_auto_type.sv (100%) rename {tests => designs/tests}/method/method_binary.sv (100%) rename {tests => designs/tests}/method/method_binary_expr_signed.sv (100%) rename {tests => designs/tests}/method/method_binary_sc_types.sv (100%) rename {tests => designs/tests}/method/method_binary_signed.sv (100%) rename {tests => designs/tests}/method/method_bit_range_access.sv (100%) rename {tests => designs/tests}/method/method_bitwise_compl.sv (100%) rename {tests => designs/tests}/method/method_bool.sv (100%) rename {tests => designs/tests}/method/method_break.sv (100%) rename {tests => designs/tests}/method/method_cast.sv (100%) rename {tests => designs/tests}/method/method_compound.sv (100%) rename {tests => designs/tests}/method/method_concat.sv (100%) rename {tests => designs/tests}/method/method_cond_cast.sv (100%) rename {tests => designs/tests}/method/method_cond_oper.sv (100%) rename {tests => designs/tests}/method/method_cond_side_effect.sv (100%) rename {tests => designs/tests}/method/method_const.sv (100%) rename {tests => designs/tests}/method/method_const_array.sv (100%) rename {tests => designs/tests}/method/method_const_binary.sv (100%) rename {tests => designs/tests}/method/method_const_compl_cond.sv (100%) rename {tests => designs/tests}/method/method_const_cond_narrow.sv (100%) rename {tests => designs/tests}/method/method_const_if.sv (100%) rename {tests => designs/tests}/method/method_const_if2.sv (100%) rename {tests => designs/tests}/method/method_const_liter.sv (100%) rename {tests => designs/tests}/method/method_const_liter_compound.sv (100%) rename {tests => designs/tests}/method/method_const_prop.sv (100%) rename {tests => designs/tests}/method/method_const_prop_loop.sv (100%) rename {tests => designs/tests}/method/method_const_prop_range.sv (100%) rename {tests => designs/tests}/method/method_const_static_mem.sv (100%) rename {tests => designs/tests}/method/method_continue.sv (100%) rename {tests => designs/tests}/method/method_decoder.sv (100%) rename {tests => designs/tests}/method/method_dowhile.sv (100%) rename {tests => designs/tests}/method/method_dowhile_other.sv (100%) rename {tests => designs/tests}/method/method_empty_sensvty.sv (100%) rename {tests => designs/tests}/method/method_enum.sv (100%) rename {tests => designs/tests}/method/method_explicit_specialz_templ.sv (100%) rename {tests => designs/tests}/method/method_explicit_type_conv.sv (100%) rename {tests => designs/tests}/method/method_fcall.sv (100%) rename {tests => designs/tests}/method/method_fcall_base.sv (100%) rename {tests => designs/tests}/method/method_fcall_const_eval.sv (100%) rename {tests => designs/tests}/method/method_fcall_const_eval2.sv (100%) rename {tests => designs/tests}/method/method_fcall_ref.sv (100%) rename {tests => designs/tests}/method/method_fcall_ref_arr_unkwn.sv (100%) rename {tests => designs/tests}/method/method_ff_latch.sv (100%) rename {tests => designs/tests}/method/method_for.sv (100%) rename {tests => designs/tests}/method/method_for_fcall.sv (100%) rename {tests => designs/tests}/method/method_forloop_other_types.sv (100%) rename {tests => designs/tests}/method/method_generic_fcall.sv (100%) rename {tests => designs/tests}/method/method_if.sv (100%) rename {tests => designs/tests}/method/method_latch.sv (100%) rename {tests => designs/tests}/method/method_level1.sv (100%) rename {tests => designs/tests}/method/method_level2.sv (100%) rename {tests => designs/tests}/method/method_level_error.sv (100%) rename {tests => designs/tests}/method/method_mix_signed_unsigned_types.sv (100%) rename {tests => designs/tests}/method/method_non_sensvty.sv (100%) rename {tests => designs/tests}/method/method_pointers.sv (100%) rename {tests => designs/tests}/method/method_port_array_bind.sv (100%) rename {tests => designs/tests}/method/method_return.sv (100%) rename {tests => designs/tests}/method/method_sc_bv.sv (100%) rename {tests => designs/tests}/method/method_sc_types.sv (100%) rename {tests => designs/tests}/method/method_shift_type_ext.sv (100%) rename {tests => designs/tests}/method/method_shifts_signed.sv (100%) rename {tests => designs/tests}/method/method_sig_array_access.sv (100%) rename {tests => designs/tests}/method/method_standard_collection.sv (100%) rename {tests => designs/tests}/method/method_std_array.sv (100%) rename {tests => designs/tests}/method/method_stdouts_prints.sv (100%) rename {tests => designs/tests}/method/method_string_liter.sv (100%) rename {tests => designs/tests}/method/method_switch.sv (100%) rename {tests => designs/tests}/method/method_switch_after.sv (100%) rename {tests => designs/tests}/method/method_switch_const.sv (100%) rename {tests => designs/tests}/method/method_switch_empty_case.sv (100%) rename {tests => designs/tests}/method/method_switch_enum.sv (100%) rename {tests => designs/tests}/method/method_switch_inside.sv (100%) rename {tests => designs/tests}/method/method_trace.sv (100%) rename {tests => designs/tests}/method/method_unary.sv (100%) rename {tests => designs/tests}/method/method_unary_sc.sv (100%) rename {tests => designs/tests}/method/method_var.sv (100%) rename {tests => designs/tests}/method/method_var_multiple_use.sv (100%) rename {tests => designs/tests}/method/method_var_multiple_use2.sv (100%) rename {tests => designs/tests}/method/method_var_multiple_use3.sv (100%) rename {tests => designs/tests}/method/method_var_ref.sv (100%) rename {tests => designs/tests}/method/method_var_ref_arr_unkwn.sv (100%) rename {tests => designs/tests}/method/method_var_usedef_same_proc.sv (100%) rename {tests => designs/tests}/method/method_virtual1.sv (100%) rename {tests => designs/tests}/method/method_virtual2.sv (100%) rename {tests => designs/tests}/method/method_virtual3.sv (100%) rename {tests => designs/tests}/method/method_virtual4.sv (100%) rename {tests => designs/tests}/method/method_virtual_cast.sv (100%) rename {tests => designs/tests}/method/method_virtual_field.sv (100%) rename {tests => designs/tests}/method/method_virtual_pure.sv (100%) rename {tests => designs/tests}/method/method_vname.sv (100%) rename {tests => designs/tests}/method/method_while.sv (100%) rename {tests => designs/tests}/method/method_while_const.sv (100%) rename {tests => designs/tests}/method/method_while_other.sv (100%) rename {tests => designs/tests}/method/test_array.cpp (100%) rename {tests => designs/tests}/method/test_array_in_if.cpp (100%) rename {tests => designs/tests}/method/test_array_init.cpp (100%) rename {tests => designs/tests}/method/test_array_param.cpp (100%) rename {tests => designs/tests}/method/test_array_return.cpp (100%) rename {tests => designs/tests}/method/test_array_return_fail.cpp (100%) rename {tests => designs/tests}/method/test_array_unknown.cpp (100%) rename {tests => designs/tests}/method/test_auto_type.cpp (100%) rename {tests => designs/tests}/method/test_binary.cpp (100%) rename {tests => designs/tests}/method/test_binary_expr_signed.cpp (100%) rename {tests => designs/tests}/method/test_binary_sc_types.cpp (100%) rename {tests => designs/tests}/method/test_binary_signed.cpp (100%) rename {tests => designs/tests}/method/test_binary_signed_fail.cpp (100%) rename {tests => designs/tests}/method/test_bit_range_access.cpp (100%) rename {tests => designs/tests}/method/test_bit_range_fail.cpp (100%) rename {tests => designs/tests}/method/test_bitwise_compl.cpp (100%) rename {tests => designs/tests}/method/test_bitwise_not_bool_fail.cpp (100%) rename {tests => designs/tests}/method/test_bool.cpp (100%) rename {tests => designs/tests}/method/test_break.cpp (100%) rename {tests => designs/tests}/method/test_cast.cpp (100%) rename {tests => designs/tests}/method/test_child_module.cpp (100%) rename {tests => designs/tests}/method/test_compound.cpp (100%) rename {tests => designs/tests}/method/test_concat.cpp (100%) rename {tests => designs/tests}/method/test_concat_fail.cpp (100%) rename {tests => designs/tests}/method/test_cond_cast.cpp (100%) rename {tests => designs/tests}/method/test_cond_oper.cpp (100%) rename {tests => designs/tests}/method/test_cond_side_effect.cpp (100%) rename {tests => designs/tests}/method/test_const.cpp (100%) rename {tests => designs/tests}/method/test_const_array.cpp (100%) rename {tests => designs/tests}/method/test_const_binary.cpp (100%) rename {tests => designs/tests}/method/test_const_compl_cond.cpp (100%) rename {tests => designs/tests}/method/test_const_cond_narrow.cpp (100%) rename {tests => designs/tests}/method/test_const_fail.cpp (100%) rename {tests => designs/tests}/method/test_const_if.cpp (100%) rename {tests => designs/tests}/method/test_const_if2.cpp (100%) rename {tests => designs/tests}/method/test_const_liter.cpp (100%) rename {tests => designs/tests}/method/test_const_liter_compound.cpp (100%) rename {tests => designs/tests}/method/test_const_prop.cpp (100%) rename {tests => designs/tests}/method/test_const_prop_fail.cpp (100%) rename {tests => designs/tests}/method/test_const_prop_fail2.cpp (100%) rename {tests => designs/tests}/method/test_const_prop_loop.cpp (100%) rename {tests => designs/tests}/method/test_const_prop_range.cpp (100%) rename {tests => designs/tests}/method/test_const_static_mem.cpp (100%) rename {tests => designs/tests}/method/test_continue.cpp (100%) rename {tests => designs/tests}/method/test_decoder.cpp (100%) rename {tests => designs/tests}/method/test_dowhile.cpp (100%) rename {tests => designs/tests}/method/test_dowhile_other.cpp (100%) rename {tests => designs/tests}/method/test_empty_sensvty.cpp (100%) rename {tests => designs/tests}/method/test_empty_sensvty1_fail.cpp (100%) rename {tests => designs/tests}/method/test_empty_sensvty2_fail.cpp (100%) rename {tests => designs/tests}/method/test_empty_sensvty3_fail.cpp (100%) rename {tests => designs/tests}/method/test_empty_sensvty4_fail.cpp (100%) rename {tests => designs/tests}/method/test_empty_sensvty_dupl_fail.cpp (100%) rename {tests => designs/tests}/method/test_enum.cpp (100%) rename {tests => designs/tests}/method/test_explicit_specialz_templ.cpp (100%) rename {tests => designs/tests}/method/test_explicit_type_conv.cpp (100%) rename {tests => designs/tests}/method/test_fcall.cpp (100%) rename {tests => designs/tests}/method/test_fcall_base.cpp (100%) rename {tests => designs/tests}/method/test_fcall_const_eval.cpp (100%) rename {tests => designs/tests}/method/test_fcall_const_eval2.cpp (100%) rename {tests => designs/tests}/method/test_fcall_ref.cpp (100%) rename {tests => designs/tests}/method/test_fcall_ref_arr_unkwn.cpp (100%) rename {tests => designs/tests}/method/test_ff_latch.cpp (100%) rename {tests => designs/tests}/method/test_for.cpp (100%) rename {tests => designs/tests}/method/test_for_fcall_fail.cpp (100%) rename {tests => designs/tests}/method/test_forloop_other_types.cpp (100%) rename {tests => designs/tests}/method/test_generic_fcall.cpp (100%) rename {tests => designs/tests}/method/test_if.cpp (100%) rename {tests => designs/tests}/method/test_latch.cpp (100%) rename {tests => designs/tests}/method/test_latch_fail.cpp (100%) rename {tests => designs/tests}/method/test_level1.cpp (100%) rename {tests => designs/tests}/method/test_level2.cpp (100%) rename {tests => designs/tests}/method/test_level_error.cpp (100%) rename {tests => designs/tests}/method/test_loop_cond_fail.cpp (100%) rename {tests => designs/tests}/method/test_mix_signed_unsigned_types.cpp (100%) rename {tests => designs/tests}/method/test_non_sensvty_arr_fail.cpp (100%) rename {tests => designs/tests}/method/test_non_sensvty_fail.cpp (100%) rename {tests => designs/tests}/method/test_pointers.cpp (100%) rename {tests => designs/tests}/method/test_port_array_bind.cpp (100%) rename {tests => designs/tests}/method/test_return.cpp (100%) rename {tests => designs/tests}/method/test_return_fail.cpp (100%) rename {tests => designs/tests}/method/test_sc_bv.cpp (100%) rename {tests => designs/tests}/method/test_sc_int_const_array.cpp (100%) rename {tests => designs/tests}/method/test_sc_types.cpp (100%) rename {tests => designs/tests}/method/test_sc_types_fail.cpp (100%) rename {tests => designs/tests}/method/test_shift_type_ext.cpp (100%) rename {tests => designs/tests}/method/test_shifts_signed.cpp (100%) rename {tests => designs/tests}/method/test_sig_array_access.cpp (100%) rename {tests => designs/tests}/method/test_standard_collection.cpp (100%) rename {tests => designs/tests}/method/test_std_array.cpp (100%) rename {tests => designs/tests}/method/test_stdouts_prints.cpp (100%) rename {tests => designs/tests}/method/test_string_liter.cpp (100%) rename {tests => designs/tests}/method/test_switch.cpp (100%) rename {tests => designs/tests}/method/test_switch_after.cpp (100%) rename {tests => designs/tests}/method/test_switch_const.cpp (100%) rename {tests => designs/tests}/method/test_switch_empty_case.cpp (100%) rename {tests => designs/tests}/method/test_switch_empty_fail.cpp (100%) rename {tests => designs/tests}/method/test_switch_enum.cpp (100%) rename {tests => designs/tests}/method/test_switch_inside.cpp (100%) rename {tests => designs/tests}/method/test_trace.cpp (100%) rename {tests => designs/tests}/method/test_unary.cpp (100%) rename {tests => designs/tests}/method/test_unary_sc.cpp (100%) rename {tests => designs/tests}/method/test_unsupport_types_fail.cpp (100%) rename {tests => designs/tests}/method/test_var.cpp (100%) rename {tests => designs/tests}/method/test_var_multiple_use.cpp (100%) rename {tests => designs/tests}/method/test_var_multiple_use2.cpp (100%) rename {tests => designs/tests}/method/test_var_multiple_use3.cpp (100%) rename {tests => designs/tests}/method/test_var_ref.cpp (100%) rename {tests => designs/tests}/method/test_var_ref_arr_unkwn.cpp (100%) rename {tests => designs/tests}/method/test_var_ref_fail.cpp (100%) rename {tests => designs/tests}/method/test_var_usedef_same_proc.cpp (100%) rename {tests => designs/tests}/method/test_virtual1.cpp (100%) rename {tests => designs/tests}/method/test_virtual2.cpp (100%) rename {tests => designs/tests}/method/test_virtual3.cpp (100%) rename {tests => designs/tests}/method/test_virtual4.cpp (100%) rename {tests => designs/tests}/method/test_virtual_cast.cpp (100%) rename {tests => designs/tests}/method/test_virtual_field.cpp (100%) rename {tests => designs/tests}/method/test_virtual_pure.cpp (100%) rename {tests => designs/tests}/method/test_vname.cpp (100%) rename {tests => designs/tests}/method/test_while.cpp (100%) rename {tests => designs/tests}/method/test_while_const.cpp (100%) rename {tests => designs/tests}/method/test_while_fcall_fail.cpp (100%) rename {tests => designs/tests}/method/test_while_other.cpp (100%) rename {tests => designs/tests}/mif/CMakeLists.txt (100%) rename {tests => designs/tests}/mif/cthread_mif.sv (100%) rename {tests => designs/tests}/mif/cthread_mif_array_fcall.sv (100%) rename {tests => designs/tests}/mif/cthread_mif_array_ptr.sv (100%) rename {tests => designs/tests}/mif/cthread_mif_array_ptr2.sv (100%) rename {tests => designs/tests}/mif/cthread_mif_array_ptr_unkwn.sv (100%) rename {tests => designs/tests}/mif/cthread_mif_array_ptr_unkwn2.sv (100%) rename {tests => designs/tests}/mif/cthread_mif_array_ptr_unkwn3.sv (100%) rename {tests => designs/tests}/mif/dttc2021.sv (100%) rename {tests => designs/tests}/mif/mif_array1.sv (100%) rename {tests => designs/tests}/mif/mif_array2.sv (100%) rename {tests => designs/tests}/mif/mif_array3.sv (100%) rename {tests => designs/tests}/mif/mif_array4.sv (100%) rename {tests => designs/tests}/mif/mif_array_const_unkwn.sv (100%) rename {tests => designs/tests}/mif/mif_array_differ.sv (100%) rename {tests => designs/tests}/mif/mif_array_ptr.sv (100%) rename {tests => designs/tests}/mif/mif_array_ptr2.sv (100%) rename {tests => designs/tests}/mif/mif_array_record_cthread.sv (100%) rename {tests => designs/tests}/mif/mif_array_record_meth.sv (100%) rename {tests => designs/tests}/mif/mif_array_sc_vector.sv (100%) rename {tests => designs/tests}/mif/mif_array_sens.sv (100%) rename {tests => designs/tests}/mif/mif_array_unkwn.sv (100%) rename {tests => designs/tests}/mif/mif_array_with_chan.sv (100%) rename {tests => designs/tests}/mif/mif_array_with_ports.sv (100%) rename {tests => designs/tests}/mif/mif_array_with_ptr.sv (100%) rename {tests => designs/tests}/mif/mif_array_with_ptr2.sv (100%) rename {tests => designs/tests}/mif/mif_array_with_ptr3.sv (100%) rename {tests => designs/tests}/mif/mif_array_with_ptr_array.sv (100%) rename {tests => designs/tests}/mif/mif_const1.sv (100%) rename {tests => designs/tests}/mif/mif_const2.sv (100%) rename {tests => designs/tests}/mif/mif_glob_const.sv (100%) rename {tests => designs/tests}/mif/mif_inherit1.sv (100%) rename {tests => designs/tests}/mif/mif_name_conflict1.sv (100%) rename {tests => designs/tests}/mif/mif_name_conflict2.sv (100%) rename {tests => designs/tests}/mif/mif_name_conflict3.sv (100%) rename {tests => designs/tests}/mif/mif_record_cthread.sv (100%) rename {tests => designs/tests}/mif/mif_record_meth.sv (100%) rename {tests => designs/tests}/mif/mif_sc_vector.sv (100%) rename {tests => designs/tests}/mif/mif_sc_vector_mif1.sv (100%) rename {tests => designs/tests}/mif/mif_sc_vector_mif2.sv (100%) rename {tests => designs/tests}/mif/mif_sc_vector_mif3.sv (100%) rename {tests => designs/tests}/mif/mif_sc_vector_mif4.sv (100%) rename {tests => designs/tests}/mif/mif_sc_vector_mif5.sv (100%) rename {tests => designs/tests}/mif/mif_sc_vector_mif6.sv (100%) rename {tests => designs/tests}/mif/mif_sc_vector_ptr.sv (100%) rename {tests => designs/tests}/mif/mif_simple.sv (100%) rename {tests => designs/tests}/mif/mif_simple1.sv (100%) rename {tests => designs/tests}/mif/mif_simple2.sv (100%) rename {tests => designs/tests}/mif/mif_simple3.sv (100%) rename {tests => designs/tests}/mif/mif_simple4.sv (100%) rename {tests => designs/tests}/mif/mif_simple5.sv (100%) rename {tests => designs/tests}/mif/mif_var_in_reset.sv (100%) rename {tests => designs/tests}/mif/mif_var_multiple_use.sv (100%) rename {tests => designs/tests}/mif/mif_with_chan_array.sv (100%) rename {tests => designs/tests}/mif/test_access_violation1.cpp (100%) rename {tests => designs/tests}/mif/test_array_heterogenous.cpp (100%) rename {tests => designs/tests}/mif/test_array_record_cthread.cpp (100%) rename {tests => designs/tests}/mif/test_array_record_meth.cpp (100%) rename {tests => designs/tests}/mif/test_array_sc_vector.cpp (100%) rename {tests => designs/tests}/mif/test_cthread_mif.cpp (100%) rename {tests => designs/tests}/mif/test_cthread_mif_array_fcall.cpp (100%) rename {tests => designs/tests}/mif/test_cthread_mif_array_ptr.cpp (100%) rename {tests => designs/tests}/mif/test_cthread_mif_array_ptr2.cpp (100%) rename {tests => designs/tests}/mif/test_cthread_mif_array_ptr_unkwn.cpp (100%) rename {tests => designs/tests}/mif/test_cthread_mif_array_ptr_unkwn2.cpp (100%) rename {tests => designs/tests}/mif/test_cthread_mif_array_ptr_unkwn3.cpp (100%) rename {tests => designs/tests}/mif/test_dttc2021.cpp (100%) rename {tests => designs/tests}/mif/test_glob_const.cpp (100%) rename {tests => designs/tests}/mif/test_mif_array1.cpp (100%) rename {tests => designs/tests}/mif/test_mif_array2.cpp (100%) rename {tests => designs/tests}/mif/test_mif_array3.cpp (100%) rename {tests => designs/tests}/mif/test_mif_array4.cpp (100%) rename {tests => designs/tests}/mif/test_mif_array_const_unkwn.cpp (100%) rename {tests => designs/tests}/mif/test_mif_array_differ.cpp (100%) rename {tests => designs/tests}/mif/test_mif_array_ptr.cpp (100%) rename {tests => designs/tests}/mif/test_mif_array_ptr2.cpp (100%) rename {tests => designs/tests}/mif/test_mif_array_sens.cpp (100%) rename {tests => designs/tests}/mif/test_mif_array_unkwn.cpp (100%) rename {tests => designs/tests}/mif/test_mif_array_with_chan.cpp (100%) rename {tests => designs/tests}/mif/test_mif_array_with_ports.cpp (100%) rename {tests => designs/tests}/mif/test_mif_array_with_ptr.cpp (100%) rename {tests => designs/tests}/mif/test_mif_array_with_ptr2.cpp (100%) rename {tests => designs/tests}/mif/test_mif_array_with_ptr3.cpp (100%) rename {tests => designs/tests}/mif/test_mif_array_with_ptr_array.cpp (100%) rename {tests => designs/tests}/mif/test_mif_const1.cpp (100%) rename {tests => designs/tests}/mif/test_mif_const2.cpp (100%) rename {tests => designs/tests}/mif/test_mif_inherit1.cpp (100%) rename {tests => designs/tests}/mif/test_mif_simple1.cpp (100%) rename {tests => designs/tests}/mif/test_mif_simple2.cpp (100%) rename {tests => designs/tests}/mif/test_mif_simple3.cpp (100%) rename {tests => designs/tests}/mif/test_mif_simple4.cpp (100%) rename {tests => designs/tests}/mif/test_mif_simple5.cpp (100%) rename {tests => designs/tests}/mif/test_mif_var_multiple_use.cpp (100%) rename {tests => designs/tests}/mif/test_mif_with_chan_array.cpp (100%) rename {tests => designs/tests}/mif/test_name_conflict1.cpp (100%) rename {tests => designs/tests}/mif/test_name_conflict2.cpp (100%) rename {tests => designs/tests}/mif/test_name_conflict3.cpp (100%) rename {tests => designs/tests}/mif/test_record_cthread.cpp (100%) rename {tests => designs/tests}/mif/test_record_meth.cpp (100%) rename {tests => designs/tests}/mif/test_sc_vector.cpp (100%) rename {tests => designs/tests}/mif/test_sc_vector_mif1.cpp (100%) rename {tests => designs/tests}/mif/test_sc_vector_mif2.cpp (100%) rename {tests => designs/tests}/mif/test_sc_vector_mif3.cpp (100%) rename {tests => designs/tests}/mif/test_sc_vector_mif4.cpp (100%) rename {tests => designs/tests}/mif/test_sc_vector_mif5.cpp (100%) rename {tests => designs/tests}/mif/test_sc_vector_mif6.cpp (100%) rename {tests => designs/tests}/mif/test_sc_vector_ptr.cpp (100%) rename {tests => designs/tests}/mif/test_var_in_reset.cpp (100%) rename {tests => designs/tests}/misc/CMakeLists.txt (100%) rename {tests => designs/tests}/misc/misc_array_in_top.sv (100%) rename {tests => designs/tests}/misc/misc_array_module.sv (100%) rename {tests => designs/tests}/misc/misc_array_ptr_noninit.sv (100%) rename {tests => designs/tests}/misc/misc_array_to_pointer.sv (100%) rename {tests => designs/tests}/misc/misc_comb_signal.sv (100%) rename {tests => designs/tests}/misc/misc_comb_signal_clear.sv (100%) rename {tests => designs/tests}/misc/misc_const_array.sv (100%) rename {tests => designs/tests}/misc/misc_const_enum_namespace.sv (100%) rename {tests => designs/tests}/misc/misc_const_global.sv (100%) rename {tests => designs/tests}/misc/misc_const_init.sv (100%) rename {tests => designs/tests}/misc/misc_const_init_fcall.sv (100%) rename {tests => designs/tests}/misc/misc_const_keep_var1.sv (100%) rename {tests => designs/tests}/misc/misc_const_keep_var2.sv (100%) rename {tests => designs/tests}/misc/misc_const_keep_var3.sv (100%) rename {tests => designs/tests}/misc/misc_const_large.sv (100%) rename {tests => designs/tests}/misc/misc_const_pointer.sv (100%) rename {tests => designs/tests}/misc/misc_const_radix.sv (100%) rename {tests => designs/tests}/misc/misc_const_remove.sv (100%) rename {tests => designs/tests}/misc/misc_constexpr.sv (100%) rename {tests => designs/tests}/misc/misc_cross_hierarchy_bind1.sv (100%) rename {tests => designs/tests}/misc/misc_cross_hierarchy_bind2.sv (100%) rename {tests => designs/tests}/misc/misc_cross_hierarchy_bind3.sv (100%) rename {tests => designs/tests}/misc/misc_dynamic_array.sv (100%) rename {tests => designs/tests}/misc/misc_dynamic_ports.sv (100%) rename {tests => designs/tests}/misc/misc_empty_process.sv (100%) rename {tests => designs/tests}/misc/misc_fcall_array.sv (100%) rename {tests => designs/tests}/misc/misc_fcall_array_unknown.sv (100%) rename {tests => designs/tests}/misc/misc_fcall_empty.sv (100%) rename {tests => designs/tests}/misc/misc_fcall_in_loop_cond.sv (100%) rename {tests => designs/tests}/misc/misc_fcall_loop.sv (100%) rename {tests => designs/tests}/misc/misc_fcall_multi_state.sv (100%) rename {tests => designs/tests}/misc/misc_fcall_params.sv (100%) rename {tests => designs/tests}/misc/misc_init_local_var1.sv (100%) rename {tests => designs/tests}/misc/misc_init_local_var2.sv (100%) rename {tests => designs/tests}/misc/misc_init_local_var2_comb.sv (100%) rename {tests => designs/tests}/misc/misc_init_reset_local_var.sv (100%) rename {tests => designs/tests}/misc/misc_init_reset_local_var_comb.sv (100%) rename {tests => designs/tests}/misc/misc_keep_template_param.sv (100%) rename {tests => designs/tests}/misc/misc_large_array.sv (100%) rename {tests => designs/tests}/misc/misc_localparam.sv (100%) rename {tests => designs/tests}/misc/misc_mif_array_differ.sv (100%) rename {tests => designs/tests}/misc/misc_mod_array_differ.sv (100%) rename {tests => designs/tests}/misc/misc_module_base_array.sv (100%) rename {tests => designs/tests}/misc/misc_module_binds_array.sv (100%) rename {tests => designs/tests}/misc/misc_module_binds_double.sv (100%) rename {tests => designs/tests}/misc/misc_module_binds_simple.sv (100%) rename {tests => designs/tests}/misc/misc_module_port_array.sv (100%) rename {tests => designs/tests}/misc/misc_module_port_sig.sv (100%) rename {tests => designs/tests}/misc/misc_module_prefix.sv (100%) rename {tests => designs/tests}/misc/misc_module_sections.sv (100%) rename {tests => designs/tests}/misc/misc_module_typedef.sv (100%) rename {tests => designs/tests}/misc/misc_multi_bind1.sv (100%) rename {tests => designs/tests}/misc/misc_multi_bind2.sv (100%) rename {tests => designs/tests}/misc/misc_multiple_pointers_mod.sv (100%) rename {tests => designs/tests}/misc/misc_multiple_pointers_var.sv (100%) rename {tests => designs/tests}/misc/misc_name_conflict0.sv (100%) rename {tests => designs/tests}/misc/misc_name_conflict1.sv (100%) rename {tests => designs/tests}/misc/misc_name_conflict2.sv (100%) rename {tests => designs/tests}/misc/misc_name_conflict3.sv (100%) rename {tests => designs/tests}/misc/misc_name_conflict4.sv (100%) rename {tests => designs/tests}/misc/misc_name_conflict5.sv (100%) rename {tests => designs/tests}/misc/misc_name_conflict6.sv (100%) rename {tests => designs/tests}/misc/misc_name_conflict7.sv (100%) rename {tests => designs/tests}/misc/misc_pointer_null_arr.sv (100%) rename {tests => designs/tests}/misc/misc_pointer_warning.sv (100%) rename {tests => designs/tests}/misc/misc_proc_kind.sv (100%) rename {tests => designs/tests}/misc/misc_process_simple.sv (100%) rename {tests => designs/tests}/misc/misc_promote_port_types.sv (100%) rename {tests => designs/tests}/misc/misc_promote_ports.sv (100%) rename {tests => designs/tests}/misc/misc_promote_ports_2.sv (100%) rename {tests => designs/tests}/misc/misc_promote_ports_simple.sv (100%) rename {tests => designs/tests}/misc/misc_read_notdef_chan.sv (100%) rename {tests => designs/tests}/misc/misc_read_notdef_var.sv (100%) rename {tests => designs/tests}/misc/misc_reference_met.sv (100%) rename {tests => designs/tests}/misc/misc_sc_port.sv (100%) rename {tests => designs/tests}/misc/misc_sc_port3.sv (100%) rename {tests => designs/tests}/misc/misc_sc_port4.sv (100%) rename {tests => designs/tests}/misc/misc_sc_port_dyn.sv (100%) rename {tests => designs/tests}/misc/misc_sc_port_ptr.sv (100%) rename {tests => designs/tests}/misc/misc_sc_port_ptr2.sv (100%) rename {tests => designs/tests}/misc/misc_sc_port_ptr_dyn.sv (100%) rename {tests => designs/tests}/misc/misc_sc_vector1.sv (100%) rename {tests => designs/tests}/misc/misc_sc_vector2.sv (100%) rename {tests => designs/tests}/misc/misc_sig_access_reset.sv (100%) rename {tests => designs/tests}/misc/misc_signal_reset.sv (100%) rename {tests => designs/tests}/misc/misc_single_source.sv (100%) rename {tests => designs/tests}/misc/misc_single_state_thread.sv (100%) rename {tests => designs/tests}/misc/misc_statistic.sv (100%) rename {tests => designs/tests}/misc/misc_string.sv (100%) rename {tests => designs/tests}/misc/misc_sva_assert.sv (100%) rename {tests => designs/tests}/misc/misc_sva_generate.sv (100%) rename {tests => designs/tests}/misc/misc_sva_generate_base_mod.sv (100%) rename {tests => designs/tests}/misc/misc_sva_generate_mod.sv (100%) rename {tests => designs/tests}/misc/misc_sva_generate_proc.sv (100%) rename {tests => designs/tests}/misc/misc_sva_generate_proc_loop.sv (100%) rename {tests => designs/tests}/misc/misc_sva_generate_proc_nogen.sv (100%) rename {tests => designs/tests}/misc/misc_sva_generate_proc_off.sv (100%) rename {tests => designs/tests}/misc/misc_sva_generate_stable.sv (100%) rename {tests => designs/tests}/misc/misc_unsigned_mode.sv (100%) rename {tests => designs/tests}/misc/misc_unused_remove_local.sv (100%) rename {tests => designs/tests}/misc/misc_unused_remove_member.sv (100%) rename {tests => designs/tests}/misc/misc_unused_remove_mif.sv (100%) rename {tests => designs/tests}/misc/misc_vector_in_top.sv (100%) rename {tests => designs/tests}/misc/misc_vendor_memory.sv (100%) rename {tests => designs/tests}/misc/misc_vendor_memory_if.sv (100%) rename {tests => designs/tests}/misc/misc_vendor_memory_sem.sv (100%) rename {tests => designs/tests}/misc/misc_verilog_intrinsic.sv (100%) rename {tests => designs/tests}/misc/misc_verilog_intrinsic2.sv (100%) rename {tests => designs/tests}/misc/misc_verilog_intrinsic3.sv (100%) rename {tests => designs/tests}/misc/misc_verilog_keyword.sv (100%) rename {tests => designs/tests}/misc/test_array_in_top.cpp (100%) rename {tests => designs/tests}/misc/test_array_module.cpp (100%) rename {tests => designs/tests}/misc/test_array_module_fail.cpp (100%) rename {tests => designs/tests}/misc/test_array_no_indx_fail.cpp (100%) rename {tests => designs/tests}/misc/test_array_ptr_noninit.cpp (100%) rename {tests => designs/tests}/misc/test_array_to_pointer.cpp (100%) rename {tests => designs/tests}/misc/test_array_to_pointer_fail.cpp (100%) rename {tests => designs/tests}/misc/test_comb_signal.cpp (100%) rename {tests => designs/tests}/misc/test_comb_signal_clear.cpp (100%) rename {tests => designs/tests}/misc/test_comb_signal_fail.cpp (100%) rename {tests => designs/tests}/misc/test_cond_side_effect_fail.cpp (100%) rename {tests => designs/tests}/misc/test_const_array.cpp (100%) rename {tests => designs/tests}/misc/test_const_enum_namespace.cpp (100%) rename {tests => designs/tests}/misc/test_const_global.cpp (100%) rename {tests => designs/tests}/misc/test_const_init.cpp (100%) rename {tests => designs/tests}/misc/test_const_init_fcall.cpp (100%) rename {tests => designs/tests}/misc/test_const_keep_var1.cpp (100%) rename {tests => designs/tests}/misc/test_const_keep_var2.cpp (100%) rename {tests => designs/tests}/misc/test_const_keep_var3.cpp (100%) rename {tests => designs/tests}/misc/test_const_large.cpp (100%) rename {tests => designs/tests}/misc/test_const_pointer.cpp (100%) rename {tests => designs/tests}/misc/test_const_radix.cpp (100%) rename {tests => designs/tests}/misc/test_const_remove.cpp (100%) rename {tests => designs/tests}/misc/test_constexpr.cpp (100%) rename {tests => designs/tests}/misc/test_cross_hierarchy_bind1.cpp (100%) rename {tests => designs/tests}/misc/test_cross_hierarchy_bind2.cpp (100%) rename {tests => designs/tests}/misc/test_cross_hierarchy_bind3.cpp (100%) rename {tests => designs/tests}/misc/test_dac2019_apb.cpp (100%) rename {tests => designs/tests}/misc/test_dac2019_apb.sv (100%) rename {tests => designs/tests}/misc/test_demo_nov27.cpp (100%) rename {tests => designs/tests}/misc/test_demo_nov27.sv (100%) rename {tests => designs/tests}/misc/test_double_alloc.cpp (100%) rename {tests => designs/tests}/misc/test_dynamic_array.cpp (100%) rename {tests => designs/tests}/misc/test_dynamic_ports.cpp (100%) rename {tests => designs/tests}/misc/test_empty_process.cpp (100%) rename {tests => designs/tests}/misc/test_fcall_array.cpp (100%) rename {tests => designs/tests}/misc/test_fcall_array_unknown.cpp (100%) rename {tests => designs/tests}/misc/test_fcall_empty.cpp (100%) rename {tests => designs/tests}/misc/test_fcall_in_loop_cond.cpp (100%) rename {tests => designs/tests}/misc/test_fcall_loop.cpp (100%) rename {tests => designs/tests}/misc/test_fcall_multi_state.cpp (100%) rename {tests => designs/tests}/misc/test_fcall_params.cpp (100%) rename {tests => designs/tests}/misc/test_fcall_return_fail1.cpp (100%) rename {tests => designs/tests}/misc/test_fcall_return_fail2.cpp (100%) rename {tests => designs/tests}/misc/test_fcall_return_fail3.cpp (100%) rename {tests => designs/tests}/misc/test_fcall_return_fail4.cpp (100%) rename {tests => designs/tests}/misc/test_init_local_var1.cpp (100%) rename {tests => designs/tests}/misc/test_init_local_var2.cpp (100%) rename {tests => designs/tests}/misc/test_init_reset_local_var.cpp (100%) rename {tests => designs/tests}/misc/test_keep_template_param.cpp (100%) rename {tests => designs/tests}/misc/test_large_array.cpp (100%) rename {tests => designs/tests}/misc/test_localparam.cpp (100%) rename {tests => designs/tests}/misc/test_loop_return_fail.cpp (100%) rename {tests => designs/tests}/misc/test_mif_array_differ.cpp (100%) rename {tests => designs/tests}/misc/test_mod_array_differ.cpp (100%) rename {tests => designs/tests}/misc/test_module_base_array.cpp (100%) rename {tests => designs/tests}/misc/test_module_binds_array.cpp (100%) rename {tests => designs/tests}/misc/test_module_binds_double.cpp (100%) rename {tests => designs/tests}/misc/test_module_binds_simple.cpp (100%) rename {tests => designs/tests}/misc/test_module_port_array.cpp (100%) rename {tests => designs/tests}/misc/test_module_port_sig.cpp (100%) rename {tests => designs/tests}/misc/test_module_prefix.cpp (100%) rename {tests => designs/tests}/misc/test_module_sections.cpp (100%) rename {tests => designs/tests}/misc/test_module_typedef.cpp (100%) rename {tests => designs/tests}/misc/test_multi_bind1.cpp (100%) rename {tests => designs/tests}/misc/test_multi_bind2.cpp (100%) rename {tests => designs/tests}/misc/test_multi_bind_fail.cpp (100%) rename {tests => designs/tests}/misc/test_multiple_pointers_mod.cpp (100%) rename {tests => designs/tests}/misc/test_multiple_pointers_var.cpp (100%) rename {tests => designs/tests}/misc/test_name_conflict0.cpp (100%) rename {tests => designs/tests}/misc/test_name_conflict1.cpp (100%) rename {tests => designs/tests}/misc/test_name_conflict2.cpp (100%) rename {tests => designs/tests}/misc/test_name_conflict3.cpp (100%) rename {tests => designs/tests}/misc/test_name_conflict4.cpp (100%) rename {tests => designs/tests}/misc/test_name_conflict5.cpp (100%) rename {tests => designs/tests}/misc/test_name_conflict6.cpp (100%) rename {tests => designs/tests}/misc/test_name_conflict7.cpp (100%) rename {tests => designs/tests}/misc/test_neg_range_fail.cpp (100%) rename {tests => designs/tests}/misc/test_pointer_dangling2_fail.cpp (100%) rename {tests => designs/tests}/misc/test_pointer_dangling3_fail.cpp (100%) rename {tests => designs/tests}/misc/test_pointer_dangling_fail.cpp (100%) rename {tests => designs/tests}/misc/test_pointer_null2_fail.cpp (100%) rename {tests => designs/tests}/misc/test_pointer_null3_fail.cpp (100%) rename {tests => designs/tests}/misc/test_pointer_null_arr.cpp (100%) rename {tests => designs/tests}/misc/test_pointer_null_arr_fail.cpp (100%) rename {tests => designs/tests}/misc/test_pointer_null_fail.cpp (100%) rename {tests => designs/tests}/misc/test_pointer_warning.cpp (100%) rename {tests => designs/tests}/misc/test_process_simple.cpp (100%) rename {tests => designs/tests}/misc/test_promote_port_types.cpp (100%) rename {tests => designs/tests}/misc/test_promote_ports.cpp (100%) rename {tests => designs/tests}/misc/test_promote_ports_simple.cpp (100%) rename {tests => designs/tests}/misc/test_read_notdef_chan.cpp (100%) rename {tests => designs/tests}/misc/test_read_notdef_var.cpp (100%) rename {tests => designs/tests}/misc/test_reference_met.cpp (100%) rename {tests => designs/tests}/misc/test_reg_array_target.cpp (100%) rename {tests => designs/tests}/misc/test_sc_port.cpp (100%) rename {tests => designs/tests}/misc/test_sc_port2.cpp (100%) rename {tests => designs/tests}/misc/test_sc_port3.cpp (100%) rename {tests => designs/tests}/misc/test_sc_port4.cpp (100%) rename {tests => designs/tests}/misc/test_sc_port_array1.cpp (100%) rename {tests => designs/tests}/misc/test_sc_port_array2.cpp (100%) rename {tests => designs/tests}/misc/test_sc_port_array_bug.cpp (100%) rename {tests => designs/tests}/misc/test_sc_port_dyn.cpp (100%) rename {tests => designs/tests}/misc/test_sc_port_ptr.cpp (100%) rename {tests => designs/tests}/misc/test_sc_port_ptr2.cpp (100%) rename {tests => designs/tests}/misc/test_sc_port_ptr_dyn.cpp (100%) rename {tests => designs/tests}/misc/test_sc_vector1.cpp (100%) rename {tests => designs/tests}/misc/test_sc_vector2.cpp (100%) rename {tests => designs/tests}/misc/test_sensitivity.cpp (100%) rename {tests => designs/tests}/misc/test_sig_access_reset.cpp (100%) rename {tests => designs/tests}/misc/test_signal_reset.cpp (100%) rename {tests => designs/tests}/misc/test_single_state_thread.cpp (100%) rename {tests => designs/tests}/misc/test_statistic.cpp (100%) rename {tests => designs/tests}/misc/test_string.cpp (100%) rename {tests => designs/tests}/misc/test_sva_assert.cpp (100%) rename {tests => designs/tests}/misc/test_sva_generate.cpp (100%) rename {tests => designs/tests}/misc/test_sva_generate_base_mod.cpp (100%) rename {tests => designs/tests}/misc/test_sva_generate_func_fail1.cpp (100%) rename {tests => designs/tests}/misc/test_sva_generate_func_fail2.cpp (100%) rename {tests => designs/tests}/misc/test_sva_generate_mod.cpp (100%) rename {tests => designs/tests}/misc/test_sva_generate_mod_fail.cpp (100%) rename {tests => designs/tests}/misc/test_sva_generate_proc.cpp (100%) rename {tests => designs/tests}/misc/test_sva_generate_proc_fail.cpp (100%) rename {tests => designs/tests}/misc/test_sva_generate_proc_loop.cpp (100%) rename {tests => designs/tests}/misc/test_sva_generate_proc_nogen.cpp (100%) rename {tests => designs/tests}/misc/test_sva_generate_proc_off.cpp (100%) rename {tests => designs/tests}/misc/test_sva_generate_stable.cpp (100%) rename {tests => designs/tests}/misc/test_unsigned_mode.cpp (100%) rename {tests => designs/tests}/misc/test_unused_remove_local.cpp (100%) rename {tests => designs/tests}/misc/test_unused_remove_member.cpp (100%) rename {tests => designs/tests}/misc/test_unused_remove_mif.cpp (100%) rename {tests => designs/tests}/misc/test_vector_in_top.cpp (100%) rename {tests => designs/tests}/misc/test_vendor_memory.cpp (100%) rename {tests => designs/tests}/misc/test_vendor_memory_fail.cpp (100%) rename {tests => designs/tests}/misc/test_vendor_memory_if.cpp (100%) rename {tests => designs/tests}/misc/test_vendor_memory_sem.cpp (100%) rename {tests => designs/tests}/misc/test_verilog_intrinsic.cpp (100%) rename {tests => designs/tests}/misc/test_verilog_intrinsic2.cpp (100%) rename {tests => designs/tests}/misc/test_verilog_intrinsic3.cpp (100%) rename {tests => designs/tests}/misc/test_verilog_intrinsic_fail.cpp (100%) rename {tests => designs/tests}/misc/test_verilog_keyword.cpp (100%) rename {tests => designs/tests}/misc/test_zero_width_fail.cpp (100%) rename {tests => designs/tests}/record/CMakeLists.txt (100%) rename {tests => designs/tests}/record/record_array_cthread.sv (100%) rename {tests => designs/tests}/record/record_array_decl_in_scope.sv (100%) rename {tests => designs/tests}/record/record_array_fcall_method.sv (100%) rename {tests => designs/tests}/record/record_array_if_method.sv (100%) rename {tests => designs/tests}/record/record_array_loops_method.sv (100%) rename {tests => designs/tests}/record/record_array_method.sv (100%) rename {tests => designs/tests}/record/record_array_method2.sv (100%) rename {tests => designs/tests}/record/record_array_unknown_cthread.sv (100%) rename {tests => designs/tests}/record/record_array_unknown_method.sv (100%) rename {tests => designs/tests}/record/record_array_var_indices_method.sv (100%) rename {tests => designs/tests}/record/record_assign_concat_cthread.sv (100%) rename {tests => designs/tests}/record/record_assign_concat_method.sv (100%) rename {tests => designs/tests}/record/record_base_multi_record.sv (100%) rename {tests => designs/tests}/record/record_base_record0.sv (100%) rename {tests => designs/tests}/record/record_base_record1.sv (100%) rename {tests => designs/tests}/record/record_base_record2.sv (100%) rename {tests => designs/tests}/record/record_complex_method.sv (100%) rename {tests => designs/tests}/record/record_fcall_cthread.sv (100%) rename {tests => designs/tests}/record/record_fcall_glob_cthread.sv (100%) rename {tests => designs/tests}/record/record_func_in_ctor.sv (100%) rename {tests => designs/tests}/record/record_func_param_cthread.sv (100%) rename {tests => designs/tests}/record/record_func_param_method.sv (100%) rename {tests => designs/tests}/record/record_if_method.sv (100%) rename {tests => designs/tests}/record/record_member_array_copy.sv (100%) rename {tests => designs/tests}/record/record_member_array_cthread.sv (100%) rename {tests => designs/tests}/record/record_member_array_method1.sv (100%) rename {tests => designs/tests}/record/record_member_array_method2.sv (100%) rename {tests => designs/tests}/record/record_member_array_method3.sv (100%) rename {tests => designs/tests}/record/record_member_array_unkwn.sv (100%) rename {tests => designs/tests}/record/record_member_func_cthread.sv (100%) rename {tests => designs/tests}/record/record_member_func_method.sv (100%) rename {tests => designs/tests}/record/record_member_record1.sv (100%) rename {tests => designs/tests}/record/record_member_record_func.sv (100%) rename {tests => designs/tests}/record/record_ptr_arr_cthread.sv (100%) rename {tests => designs/tests}/record/record_ptr_arr_method.sv (100%) rename {tests => designs/tests}/record/record_read_defined.sv (100%) rename {tests => designs/tests}/record/record_reg_arr_cthread.sv (100%) rename {tests => designs/tests}/record/record_reg_cthread0.sv (100%) rename {tests => designs/tests}/record/record_reg_cthread1.sv (100%) rename {tests => designs/tests}/record/record_reg_func_cthread1.sv (100%) rename {tests => designs/tests}/record/record_reg_func_cthread2.sv (100%) rename {tests => designs/tests}/record/record_return_assert_method.sv (100%) rename {tests => designs/tests}/record/record_return_cthread.sv (100%) rename {tests => designs/tests}/record/record_return_cthread1.sv (100%) rename {tests => designs/tests}/record/record_return_cthread2.sv (100%) rename {tests => designs/tests}/record/record_return_cthread3.sv (100%) rename {tests => designs/tests}/record/record_return_method.sv (100%) rename {tests => designs/tests}/record/record_return_static_func_cthread.sv (100%) rename {tests => designs/tests}/record/record_simple_method.sv (100%) rename {tests => designs/tests}/record/record_simple_method3.sv (100%) rename {tests => designs/tests}/record/record_wait_call.sv (100%) rename {tests => designs/tests}/record/test_array_cthread.cpp (100%) rename {tests => designs/tests}/record/test_array_decl_in_scope.cpp (100%) rename {tests => designs/tests}/record/test_array_fcall_method.cpp (100%) rename {tests => designs/tests}/record/test_array_if_method.cpp (100%) rename {tests => designs/tests}/record/test_array_loops_method.cpp (100%) rename {tests => designs/tests}/record/test_array_method.cpp (100%) rename {tests => designs/tests}/record/test_array_method2.cpp (100%) rename {tests => designs/tests}/record/test_array_unknown_cthread.cpp (100%) rename {tests => designs/tests}/record/test_array_unknown_method.cpp (100%) rename {tests => designs/tests}/record/test_array_var_indices_method.cpp (100%) rename {tests => designs/tests}/record/test_assign_concat_cthread.cpp (100%) rename {tests => designs/tests}/record/test_assign_concat_method.cpp (100%) rename {tests => designs/tests}/record/test_base_multi_record.cpp (100%) rename {tests => designs/tests}/record/test_base_record0.cpp (100%) rename {tests => designs/tests}/record/test_base_record1.cpp (100%) rename {tests => designs/tests}/record/test_base_record2.cpp (100%) rename {tests => designs/tests}/record/test_chan_type.cpp (100%) rename {tests => designs/tests}/record/test_complex_method.cpp (100%) rename {tests => designs/tests}/record/test_fcall_cthread.cpp (100%) rename {tests => designs/tests}/record/test_fcall_glob_cthread.cpp (100%) rename {tests => designs/tests}/record/test_func_in_ctor.cpp (100%) rename {tests => designs/tests}/record/test_func_param_cthread.cpp (100%) rename {tests => designs/tests}/record/test_func_param_method.cpp (100%) rename {tests => designs/tests}/record/test_if_method.cpp (100%) rename {tests => designs/tests}/record/test_member_array_copy.cpp (100%) rename {tests => designs/tests}/record/test_member_array_cthread.cpp (100%) rename {tests => designs/tests}/record/test_member_array_method1.cpp (100%) rename {tests => designs/tests}/record/test_member_array_method2.cpp (100%) rename {tests => designs/tests}/record/test_member_array_method3.cpp (100%) rename {tests => designs/tests}/record/test_member_array_unkwn.cpp (100%) rename {tests => designs/tests}/record/test_member_func_cthread.cpp (100%) rename {tests => designs/tests}/record/test_member_func_method.cpp (100%) rename {tests => designs/tests}/record/test_member_record1.cpp (100%) rename {tests => designs/tests}/record/test_member_record_func.cpp (100%) rename {tests => designs/tests}/record/test_ptr_arr_cthread.cpp (100%) rename {tests => designs/tests}/record/test_ptr_arr_method.cpp (100%) rename {tests => designs/tests}/record/test_read_defined.cpp (100%) rename {tests => designs/tests}/record/test_reg_arr_cthread.cpp (100%) rename {tests => designs/tests}/record/test_reg_cthread0.cpp (100%) rename {tests => designs/tests}/record/test_reg_cthread1.cpp (100%) rename {tests => designs/tests}/record/test_reg_func_cthread1.cpp (100%) rename {tests => designs/tests}/record/test_reg_func_cthread2.cpp (100%) rename {tests => designs/tests}/record/test_return_assert_method.cpp (100%) rename {tests => designs/tests}/record/test_return_cthread.cpp (100%) rename {tests => designs/tests}/record/test_return_cthread1.cpp (100%) rename {tests => designs/tests}/record/test_return_cthread2.cpp (100%) rename {tests => designs/tests}/record/test_return_cthread3.cpp (100%) rename {tests => designs/tests}/record/test_return_method.cpp (100%) rename {tests => designs/tests}/record/test_return_static_func_cthread.cpp (100%) rename {tests => designs/tests}/record/test_simple_cthread.cpp (100%) rename {tests => designs/tests}/record/test_simple_method.cpp (100%) rename {tests => designs/tests}/record/test_simple_method3.cpp (100%) rename {tests => designs/tests}/record/test_wait_call.cpp (100%) rename {tests => designs/tests}/state/CMakeLists.txt (100%) rename {tests => designs/tests}/state/test_method_basic.cpp (100%) rename {tests => designs/tests}/state/test_state_array_el_ptr.cpp (100%) rename {tests => designs/tests}/state/test_state_array_int.cpp (100%) rename {tests => designs/tests}/state/test_state_bases.cpp (100%) rename {tests => designs/tests}/state/test_state_dyn_sig.cpp (100%) rename {tests => designs/tests}/state/test_state_dynalloc.cpp (100%) rename {tests => designs/tests}/state/test_state_init.cpp (100%) rename {tests => designs/tests}/state/test_state_mdim_array.cpp (100%) rename {tests => designs/tests}/state/test_state_pointers.cpp (100%) rename {tests => designs/tests}/state/test_state_sc_vector.cpp (100%) rename {tests => designs/tests}/state/test_state_static_const.cpp (100%) rename {tests => designs/tests}/state/test_state_struct_member.cpp (100%) rename {tests => designs/tests}/uniquify/CMakeLists.txt (100%) rename {tests => designs/tests}/uniquify/test_uniquify_basic.cpp (100%) rename {tests => designs/tests}/uniquify/test_uniquify_basic.sv (100%) rename {tests => designs/tests}/uniquify/test_uniquify_cross_bind.cpp (100%) rename {tests => designs/tests}/uniquify/test_uniquify_cross_bind.sv (100%) rename {tests => designs/tests}/uniquify/test_uniquify_proc.cpp (100%) rename {tests => designs/tests}/uniquify/test_uniquify_proc.sv (100%) delete mode 100644 tests/CMakeLists.txt diff --git a/designs/CMakeLists.txt b/designs/CMakeLists.txt deleted file mode 100644 index 8c567118..00000000 --- a/designs/CMakeLists.txt +++ /dev/null @@ -1 +0,0 @@ -add_subdirectory(template) diff --git a/designs/README b/designs/README new file mode 100644 index 00000000..2dc32c0f --- /dev/null +++ b/designs/README @@ -0,0 +1,35 @@ +#****************************************************************************** +# Copyright (c) 2020, Intel Corporation. All rights reserved. +# +# SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception. +# +# ***************************************************************************** +# +# Intel(r) Compiler for SystemC*, version 1.3.7 +# +# ***************************************************************************** + +------------------------------------------------------------------------------- +1. Build and run examples, tests and designs +------------------------------------------------------------------------------- + +* Run bash shell +$ bash + +* Setup ICSC environment +$ source icsc/setenv.sh + +* Build sources +$ cd systemc-compiler/designs/examples +$ mkdir build && cd build +$ cmake ../ +$ make -j8 + +* Run SystemVerilog generation +$ ctest -j8 + +* Check the generated SystemVerilog in sv_out folder +$ cat counter/sv_out/counter.sv + +* Run SystemC simulation for an example +$ counter/counter diff --git a/examples/CMakeLists.txt b/designs/examples/CMakeLists.txt similarity index 56% rename from examples/CMakeLists.txt rename to designs/examples/CMakeLists.txt index c5bc8c7f..4bec80ce 100644 --- a/examples/CMakeLists.txt +++ b/designs/examples/CMakeLists.txt @@ -5,6 +5,23 @@ # # ***************************************************************************** +cmake_minimum_required(VERSION 3.12) + +enable_testing() + +if(NOT DEFINED ENV{ICSC_HOME}) + message("ICSC_HOME is not defined!") + return() +endif() + +project(icsc_examples) + +## SVC package contains ScTool and SystemC libraries +find_package(SVC REQUIRED) + +# C++ standard must be the same as in ScTool, $(SystemC_CXX_STANDARD) contains 17 +set(CMAKE_CXX_STANDARD 17) + add_subdirectory(asserts) add_subdirectory(counter) add_subdirectory(decoder) diff --git a/examples/Dma/CMakeLists.txt b/designs/examples/Dma/CMakeLists.txt similarity index 100% rename from examples/Dma/CMakeLists.txt rename to designs/examples/Dma/CMakeLists.txt diff --git a/examples/Dma/GenDma_sc.h b/designs/examples/Dma/GenDma_sc.h similarity index 100% rename from examples/Dma/GenDma_sc.h rename to designs/examples/Dma/GenDma_sc.h diff --git a/examples/Dma/GenDma_tb.cpp b/designs/examples/Dma/GenDma_tb.cpp similarity index 100% rename from examples/Dma/GenDma_tb.cpp rename to designs/examples/Dma/GenDma_tb.cpp diff --git a/examples/Dma/register.h b/designs/examples/Dma/register.h similarity index 100% rename from examples/Dma/register.h rename to designs/examples/Dma/register.h diff --git a/examples/asserts/CMakeLists.txt b/designs/examples/asserts/CMakeLists.txt similarity index 100% rename from examples/asserts/CMakeLists.txt rename to designs/examples/asserts/CMakeLists.txt diff --git a/examples/asserts/imm_assert.cpp b/designs/examples/asserts/imm_assert.cpp similarity index 100% rename from examples/asserts/imm_assert.cpp rename to designs/examples/asserts/imm_assert.cpp diff --git a/examples/asserts/temp_assert.cpp b/designs/examples/asserts/temp_assert.cpp similarity index 100% rename from examples/asserts/temp_assert.cpp rename to designs/examples/asserts/temp_assert.cpp diff --git a/examples/counter/CMakeLists.txt b/designs/examples/counter/CMakeLists.txt similarity index 100% rename from examples/counter/CMakeLists.txt rename to designs/examples/counter/CMakeLists.txt diff --git a/examples/counter/dut.h b/designs/examples/counter/dut.h similarity index 100% rename from examples/counter/dut.h rename to designs/examples/counter/dut.h diff --git a/examples/counter/example.cpp b/designs/examples/counter/example.cpp similarity index 100% rename from examples/counter/example.cpp rename to designs/examples/counter/example.cpp diff --git a/examples/decoder/CMakeLists.txt b/designs/examples/decoder/CMakeLists.txt similarity index 100% rename from examples/decoder/CMakeLists.txt rename to designs/examples/decoder/CMakeLists.txt diff --git a/examples/decoder/dut.h b/designs/examples/decoder/dut.h similarity index 100% rename from examples/decoder/dut.h rename to designs/examples/decoder/dut.h diff --git a/examples/decoder/example.cpp b/designs/examples/decoder/example.cpp similarity index 100% rename from examples/decoder/example.cpp rename to designs/examples/decoder/example.cpp diff --git a/examples/dvcon20/AdvFifo.h b/designs/examples/dvcon20/AdvFifo.h similarity index 100% rename from examples/dvcon20/AdvFifo.h rename to designs/examples/dvcon20/AdvFifo.h diff --git a/examples/dvcon20/CMakeLists.txt b/designs/examples/dvcon20/CMakeLists.txt similarity index 100% rename from examples/dvcon20/CMakeLists.txt rename to designs/examples/dvcon20/CMakeLists.txt diff --git a/examples/dvcon20/dvcon_fifo.sv b/designs/examples/dvcon20/dvcon_fifo.sv similarity index 100% rename from examples/dvcon20/dvcon_fifo.sv rename to designs/examples/dvcon20/dvcon_fifo.sv diff --git a/examples/dvcon20/dvcon_simple.sv b/designs/examples/dvcon20/dvcon_simple.sv similarity index 100% rename from examples/dvcon20/dvcon_simple.sv rename to designs/examples/dvcon20/dvcon_simple.sv diff --git a/examples/dvcon20/test_fifo.cpp b/designs/examples/dvcon20/test_fifo.cpp similarity index 100% rename from examples/dvcon20/test_fifo.cpp rename to designs/examples/dvcon20/test_fifo.cpp diff --git a/examples/dvcon20/test_simple.cpp b/designs/examples/dvcon20/test_simple.cpp similarity index 100% rename from examples/dvcon20/test_simple.cpp rename to designs/examples/dvcon20/test_simple.cpp diff --git a/examples/fsm/CMakeLists.txt b/designs/examples/fsm/CMakeLists.txt similarity index 100% rename from examples/fsm/CMakeLists.txt rename to designs/examples/fsm/CMakeLists.txt diff --git a/examples/fsm/dut.h b/designs/examples/fsm/dut.h similarity index 100% rename from examples/fsm/dut.h rename to designs/examples/fsm/dut.h diff --git a/examples/fsm/example.cpp b/designs/examples/fsm/example.cpp similarity index 100% rename from examples/fsm/example.cpp rename to designs/examples/fsm/example.cpp diff --git a/examples/int_error/CMakeLists.txt b/designs/examples/int_error/CMakeLists.txt similarity index 100% rename from examples/int_error/CMakeLists.txt rename to designs/examples/int_error/CMakeLists.txt diff --git a/examples/int_error/example.cpp b/designs/examples/int_error/example.cpp similarity index 100% rename from examples/int_error/example.cpp rename to designs/examples/int_error/example.cpp diff --git a/examples/intrinsic/CMakeLists.txt b/designs/examples/intrinsic/CMakeLists.txt similarity index 100% rename from examples/intrinsic/CMakeLists.txt rename to designs/examples/intrinsic/CMakeLists.txt diff --git a/examples/intrinsic/verilog_intrinsic.cpp b/designs/examples/intrinsic/verilog_intrinsic.cpp similarity index 100% rename from examples/intrinsic/verilog_intrinsic.cpp rename to designs/examples/intrinsic/verilog_intrinsic.cpp diff --git a/examples/latch_ff/CMakeLists.txt b/designs/examples/latch_ff/CMakeLists.txt similarity index 100% rename from examples/latch_ff/CMakeLists.txt rename to designs/examples/latch_ff/CMakeLists.txt diff --git a/examples/latch_ff/dut.h b/designs/examples/latch_ff/dut.h similarity index 100% rename from examples/latch_ff/dut.h rename to designs/examples/latch_ff/dut.h diff --git a/examples/latch_ff/example.cpp b/designs/examples/latch_ff/example.cpp similarity index 100% rename from examples/latch_ff/example.cpp rename to designs/examples/latch_ff/example.cpp diff --git a/designs/tests/CMakeLists.txt b/designs/tests/CMakeLists.txt new file mode 100644 index 00000000..f481f3a8 --- /dev/null +++ b/designs/tests/CMakeLists.txt @@ -0,0 +1,33 @@ +#****************************************************************************** +# Copyright (c) 2020, Intel Corporation. All rights reserved. +# +# SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception. +# +# ***************************************************************************** + +cmake_minimum_required(VERSION 3.12) + +enable_testing() + +if(NOT DEFINED ENV{ICSC_HOME}) + message("ICSC_HOME is not defined!") + return() +endif() + +project(icsc_tests) + +## SVC package contains ScTool and SystemC libraries +find_package(SVC REQUIRED) + +# C++ standard must be the same as in ScTool, $(SystemC_CXX_STANDARD) contains 17 +set(CMAKE_CXX_STANDARD 17) + +add_subdirectory(const_prop) +add_subdirectory(cthread) +add_subdirectory(method) +add_subdirectory(mif) +add_subdirectory(misc) +add_subdirectory(record) +add_subdirectory(state) +add_subdirectory(uniquify) + diff --git a/tests/const_prop/CMakeLists.txt b/designs/tests/const_prop/CMakeLists.txt similarity index 100% rename from tests/const_prop/CMakeLists.txt rename to designs/tests/const_prop/CMakeLists.txt diff --git a/tests/const_prop/const_negative.sv b/designs/tests/const_prop/const_negative.sv similarity index 100% rename from tests/const_prop/const_negative.sv rename to designs/tests/const_prop/const_negative.sv diff --git a/tests/const_prop/test_const_negative.cpp b/designs/tests/const_prop/test_const_negative.cpp similarity index 100% rename from tests/const_prop/test_const_negative.cpp rename to designs/tests/const_prop/test_const_negative.cpp diff --git a/tests/const_prop/test_const_prop_basic.cpp b/designs/tests/const_prop/test_const_prop_basic.cpp similarity index 100% rename from tests/const_prop/test_const_prop_basic.cpp rename to designs/tests/const_prop/test_const_prop_basic.cpp diff --git a/tests/const_prop/test_const_prop_cthread.cpp b/designs/tests/const_prop/test_const_prop_cthread.cpp similarity index 100% rename from tests/const_prop/test_const_prop_cthread.cpp rename to designs/tests/const_prop/test_const_prop_cthread.cpp diff --git a/tests/const_prop/test_const_prop_cthread_wait_return.cpp b/designs/tests/const_prop/test_const_prop_cthread_wait_return.cpp similarity index 100% rename from tests/const_prop/test_const_prop_cthread_wait_return.cpp rename to designs/tests/const_prop/test_const_prop_cthread_wait_return.cpp diff --git a/tests/const_prop/test_const_prop_dead_state.cpp b/designs/tests/const_prop/test_const_prop_dead_state.cpp similarity index 100% rename from tests/const_prop/test_const_prop_dead_state.cpp rename to designs/tests/const_prop/test_const_prop_dead_state.cpp diff --git a/tests/const_prop/test_const_prop_deep_fork.cpp b/designs/tests/const_prop/test_const_prop_deep_fork.cpp similarity index 100% rename from tests/const_prop/test_const_prop_deep_fork.cpp rename to designs/tests/const_prop/test_const_prop_deep_fork.cpp diff --git a/tests/const_prop/test_const_prop_deep_wait.cpp b/designs/tests/const_prop/test_const_prop_deep_wait.cpp similarity index 100% rename from tests/const_prop/test_const_prop_deep_wait.cpp rename to designs/tests/const_prop/test_const_prop_deep_wait.cpp diff --git a/tests/const_prop/test_const_prop_dowhile.cpp b/designs/tests/const_prop/test_const_prop_dowhile.cpp similarity index 100% rename from tests/const_prop/test_const_prop_dowhile.cpp rename to designs/tests/const_prop/test_const_prop_dowhile.cpp diff --git a/tests/const_prop/test_const_prop_eval_stmts.cpp b/designs/tests/const_prop/test_const_prop_eval_stmts.cpp similarity index 100% rename from tests/const_prop/test_const_prop_eval_stmts.cpp rename to designs/tests/const_prop/test_const_prop_eval_stmts.cpp diff --git a/tests/const_prop/test_const_prop_fcall_simple.cpp b/designs/tests/const_prop/test_const_prop_fcall_simple.cpp similarity index 100% rename from tests/const_prop/test_const_prop_fcall_simple.cpp rename to designs/tests/const_prop/test_const_prop_fcall_simple.cpp diff --git a/tests/const_prop/test_const_prop_float.cpp b/designs/tests/const_prop/test_const_prop_float.cpp similarity index 100% rename from tests/const_prop/test_const_prop_float.cpp rename to designs/tests/const_prop/test_const_prop_float.cpp diff --git a/tests/const_prop/test_const_prop_fork_break.cpp b/designs/tests/const_prop/test_const_prop_fork_break.cpp similarity index 100% rename from tests/const_prop/test_const_prop_fork_break.cpp rename to designs/tests/const_prop/test_const_prop_fork_break.cpp diff --git a/tests/const_prop/test_const_prop_fork_continue.cpp b/designs/tests/const_prop/test_const_prop_fork_continue.cpp similarity index 100% rename from tests/const_prop/test_const_prop_fork_continue.cpp rename to designs/tests/const_prop/test_const_prop_fork_continue.cpp diff --git a/tests/const_prop/test_const_prop_fork_inner_loop.cpp b/designs/tests/const_prop/test_const_prop_fork_inner_loop.cpp similarity index 100% rename from tests/const_prop/test_const_prop_fork_inner_loop.cpp rename to designs/tests/const_prop/test_const_prop_fork_inner_loop.cpp diff --git a/tests/const_prop/test_const_prop_fork_return.cpp b/designs/tests/const_prop/test_const_prop_fork_return.cpp similarity index 100% rename from tests/const_prop/test_const_prop_fork_return.cpp rename to designs/tests/const_prop/test_const_prop_fork_return.cpp diff --git a/tests/const_prop/test_const_prop_inner_loop_wait.cpp b/designs/tests/const_prop/test_const_prop_inner_loop_wait.cpp similarity index 100% rename from tests/const_prop/test_const_prop_inner_loop_wait.cpp rename to designs/tests/const_prop/test_const_prop_inner_loop_wait.cpp diff --git a/tests/const_prop/test_const_prop_logic_term.cpp b/designs/tests/const_prop/test_const_prop_logic_term.cpp similarity index 100% rename from tests/const_prop/test_const_prop_logic_term.cpp rename to designs/tests/const_prop/test_const_prop_logic_term.cpp diff --git a/tests/const_prop/test_const_prop_loops.cpp b/designs/tests/const_prop/test_const_prop_loops.cpp similarity index 100% rename from tests/const_prop/test_const_prop_loops.cpp rename to designs/tests/const_prop/test_const_prop_loops.cpp diff --git a/tests/const_prop/test_const_prop_many_forks.cpp b/designs/tests/const_prop/test_const_prop_many_forks.cpp similarity index 100% rename from tests/const_prop/test_const_prop_many_forks.cpp rename to designs/tests/const_prop/test_const_prop_many_forks.cpp diff --git a/tests/const_prop/test_const_prop_multiple_inheritance.cpp b/designs/tests/const_prop/test_const_prop_multiple_inheritance.cpp similarity index 100% rename from tests/const_prop/test_const_prop_multiple_inheritance.cpp rename to designs/tests/const_prop/test_const_prop_multiple_inheritance.cpp diff --git a/tests/const_prop/test_const_prop_recursive.cpp b/designs/tests/const_prop/test_const_prop_recursive.cpp similarity index 100% rename from tests/const_prop/test_const_prop_recursive.cpp rename to designs/tests/const_prop/test_const_prop_recursive.cpp diff --git a/tests/const_prop/test_const_prop_sc_dt.cpp b/designs/tests/const_prop/test_const_prop_sc_dt.cpp similarity index 100% rename from tests/const_prop/test_const_prop_sc_dt.cpp rename to designs/tests/const_prop/test_const_prop_sc_dt.cpp diff --git a/tests/const_prop/test_const_prop_sc_dt_unsupported.cpp b/designs/tests/const_prop/test_const_prop_sc_dt_unsupported.cpp similarity index 100% rename from tests/const_prop/test_const_prop_sc_dt_unsupported.cpp rename to designs/tests/const_prop/test_const_prop_sc_dt_unsupported.cpp diff --git a/tests/const_prop/test_const_prop_stop_wait.cpp b/designs/tests/const_prop/test_const_prop_stop_wait.cpp similarity index 100% rename from tests/const_prop/test_const_prop_stop_wait.cpp rename to designs/tests/const_prop/test_const_prop_stop_wait.cpp diff --git a/tests/const_prop/test_const_prop_switch.cpp b/designs/tests/const_prop/test_const_prop_switch.cpp similarity index 100% rename from tests/const_prop/test_const_prop_switch.cpp rename to designs/tests/const_prop/test_const_prop_switch.cpp diff --git a/tests/const_prop/test_const_prop_unknown_iters.cpp b/designs/tests/const_prop/test_const_prop_unknown_iters.cpp similarity index 100% rename from tests/const_prop/test_const_prop_unknown_iters.cpp rename to designs/tests/const_prop/test_const_prop_unknown_iters.cpp diff --git a/tests/const_prop/test_const_prop_virtual_calls.cpp b/designs/tests/const_prop/test_const_prop_virtual_calls.cpp similarity index 100% rename from tests/const_prop/test_const_prop_virtual_calls.cpp rename to designs/tests/const_prop/test_const_prop_virtual_calls.cpp diff --git a/tests/const_prop/test_const_prop_virtual_inheritance.cpp b/designs/tests/const_prop/test_const_prop_virtual_inheritance.cpp similarity index 100% rename from tests/const_prop/test_const_prop_virtual_inheritance.cpp rename to designs/tests/const_prop/test_const_prop_virtual_inheritance.cpp diff --git a/tests/const_prop/test_nonsynth_cant_unroll.cpp b/designs/tests/const_prop/test_nonsynth_cant_unroll.cpp similarity index 100% rename from tests/const_prop/test_nonsynth_cant_unroll.cpp rename to designs/tests/const_prop/test_nonsynth_cant_unroll.cpp diff --git a/tests/cthread/CMakeLists.txt b/designs/tests/cthread/CMakeLists.txt similarity index 100% rename from tests/cthread/CMakeLists.txt rename to designs/tests/cthread/CMakeLists.txt diff --git a/tests/cthread/bhv_fifo.sv b/designs/tests/cthread/bhv_fifo.sv similarity index 100% rename from tests/cthread/bhv_fifo.sv rename to designs/tests/cthread/bhv_fifo.sv diff --git a/tests/cthread/cthread_alive_loop.sv b/designs/tests/cthread/cthread_alive_loop.sv similarity index 100% rename from tests/cthread/cthread_alive_loop.sv rename to designs/tests/cthread/cthread_alive_loop.sv diff --git a/tests/cthread/cthread_array.sv b/designs/tests/cthread/cthread_array.sv similarity index 100% rename from tests/cthread/cthread_array.sv rename to designs/tests/cthread/cthread_array.sv diff --git a/tests/cthread/cthread_array_access.sv b/designs/tests/cthread/cthread_array_access.sv similarity index 100% rename from tests/cthread/cthread_array_access.sv rename to designs/tests/cthread/cthread_array_access.sv diff --git a/tests/cthread/cthread_array_comb_reg.sv b/designs/tests/cthread/cthread_array_comb_reg.sv similarity index 100% rename from tests/cthread/cthread_array_comb_reg.sv rename to designs/tests/cthread/cthread_array_comb_reg.sv diff --git a/tests/cthread/cthread_break.sv b/designs/tests/cthread/cthread_break.sv similarity index 100% rename from tests/cthread/cthread_break.sv rename to designs/tests/cthread/cthread_break.sv diff --git a/tests/cthread/cthread_break_via_func.sv b/designs/tests/cthread/cthread_break_via_func.sv similarity index 100% rename from tests/cthread/cthread_break_via_func.sv rename to designs/tests/cthread/cthread_break_via_func.sv diff --git a/tests/cthread/cthread_concat.sv b/designs/tests/cthread/cthread_concat.sv similarity index 100% rename from tests/cthread/cthread_concat.sv rename to designs/tests/cthread/cthread_concat.sv diff --git a/tests/cthread/cthread_const_array.sv b/designs/tests/cthread/cthread_const_array.sv similarity index 100% rename from tests/cthread/cthread_const_array.sv rename to designs/tests/cthread/cthread_const_array.sv diff --git a/tests/cthread/cthread_const_prop.sv b/designs/tests/cthread/cthread_const_prop.sv similarity index 100% rename from tests/cthread/cthread_const_prop.sv rename to designs/tests/cthread/cthread_const_prop.sv diff --git a/tests/cthread/cthread_continue.sv b/designs/tests/cthread/cthread_continue.sv similarity index 100% rename from tests/cthread/cthread_continue.sv rename to designs/tests/cthread/cthread_continue.sv diff --git a/tests/cthread/cthread_do_while.sv b/designs/tests/cthread/cthread_do_while.sv similarity index 100% rename from tests/cthread/cthread_do_while.sv rename to designs/tests/cthread/cthread_do_while.sv diff --git a/tests/cthread/cthread_do_while_always1.sv b/designs/tests/cthread/cthread_do_while_always1.sv similarity index 100% rename from tests/cthread/cthread_do_while_always1.sv rename to designs/tests/cthread/cthread_do_while_always1.sv diff --git a/tests/cthread/cthread_do_while_const.sv b/designs/tests/cthread/cthread_do_while_const.sv similarity index 100% rename from tests/cthread/cthread_do_while_const.sv rename to designs/tests/cthread/cthread_do_while_const.sv diff --git a/tests/cthread/cthread_do_while_other_types.sv b/designs/tests/cthread/cthread_do_while_other_types.sv similarity index 100% rename from tests/cthread/cthread_do_while_other_types.sv rename to designs/tests/cthread/cthread_do_while_other_types.sv diff --git a/tests/cthread/cthread_duplicate_states.sv b/designs/tests/cthread/cthread_duplicate_states.sv similarity index 100% rename from tests/cthread/cthread_duplicate_states.sv rename to designs/tests/cthread/cthread_duplicate_states.sv diff --git a/tests/cthread/cthread_fcall.sv b/designs/tests/cthread/cthread_fcall.sv similarity index 100% rename from tests/cthread/cthread_fcall.sv rename to designs/tests/cthread/cthread_fcall.sv diff --git a/tests/cthread/cthread_fcall_const_eval.sv b/designs/tests/cthread/cthread_fcall_const_eval.sv similarity index 100% rename from tests/cthread/cthread_fcall_const_eval.sv rename to designs/tests/cthread/cthread_fcall_const_eval.sv diff --git a/tests/cthread/cthread_fcall_in_reset.sv b/designs/tests/cthread/cthread_fcall_in_reset.sv similarity index 100% rename from tests/cthread/cthread_fcall_in_reset.sv rename to designs/tests/cthread/cthread_fcall_in_reset.sv diff --git a/tests/cthread/cthread_fcall_ref.sv b/designs/tests/cthread/cthread_fcall_ref.sv similarity index 100% rename from tests/cthread/cthread_fcall_ref.sv rename to designs/tests/cthread/cthread_fcall_ref.sv diff --git a/tests/cthread/cthread_for.sv b/designs/tests/cthread/cthread_for.sv similarity index 100% rename from tests/cthread/cthread_for.sv rename to designs/tests/cthread/cthread_for.sv diff --git a/tests/cthread/cthread_for_cntr_scint.sv b/designs/tests/cthread/cthread_for_cntr_scint.sv similarity index 100% rename from tests/cthread/cthread_for_cntr_scint.sv rename to designs/tests/cthread/cthread_for_cntr_scint.sv diff --git a/tests/cthread/cthread_for_if.sv b/designs/tests/cthread/cthread_for_if.sv similarity index 100% rename from tests/cthread/cthread_for_if.sv rename to designs/tests/cthread/cthread_for_if.sv diff --git a/tests/cthread/cthread_for_other_types.sv b/designs/tests/cthread/cthread_for_other_types.sv similarity index 100% rename from tests/cthread/cthread_for_other_types.sv rename to designs/tests/cthread/cthread_for_other_types.sv diff --git a/tests/cthread/cthread_if.sv b/designs/tests/cthread/cthread_if.sv similarity index 100% rename from tests/cthread/cthread_if.sv rename to designs/tests/cthread/cthread_if.sv diff --git a/tests/cthread/cthread_if_const.sv b/designs/tests/cthread/cthread_if_const.sv similarity index 100% rename from tests/cthread/cthread_if_const.sv rename to designs/tests/cthread/cthread_if_const.sv diff --git a/tests/cthread/cthread_initvar_inf_loop.sv b/designs/tests/cthread/cthread_initvar_inf_loop.sv similarity index 100% rename from tests/cthread/cthread_initvar_inf_loop.sv rename to designs/tests/cthread/cthread_initvar_inf_loop.sv diff --git a/tests/cthread/cthread_inner_loops.sv b/designs/tests/cthread/cthread_inner_loops.sv similarity index 100% rename from tests/cthread/cthread_inner_loops.sv rename to designs/tests/cthread/cthread_inner_loops.sv diff --git a/tests/cthread/cthread_mif_array_differ.sv b/designs/tests/cthread/cthread_mif_array_differ.sv similarity index 100% rename from tests/cthread/cthread_mif_array_differ.sv rename to designs/tests/cthread/cthread_mif_array_differ.sv diff --git a/tests/cthread/cthread_mod_array_differ.sv b/designs/tests/cthread/cthread_mod_array_differ.sv similarity index 100% rename from tests/cthread/cthread_mod_array_differ.sv rename to designs/tests/cthread/cthread_mod_array_differ.sv diff --git a/tests/cthread/cthread_mult_resets.sv b/designs/tests/cthread/cthread_mult_resets.sv similarity index 100% rename from tests/cthread/cthread_mult_resets.sv rename to designs/tests/cthread/cthread_mult_resets.sv diff --git a/tests/cthread/cthread_multi_wait_reset.sv b/designs/tests/cthread/cthread_multi_wait_reset.sv similarity index 100% rename from tests/cthread/cthread_multi_wait_reset.sv rename to designs/tests/cthread/cthread_multi_wait_reset.sv diff --git a/tests/cthread/cthread_multiwait.sv b/designs/tests/cthread/cthread_multiwait.sv similarity index 100% rename from tests/cthread/cthread_multiwait.sv rename to designs/tests/cthread/cthread_multiwait.sv diff --git a/tests/cthread/cthread_pointer_to_bool.sv b/designs/tests/cthread/cthread_pointer_to_bool.sv similarity index 100% rename from tests/cthread/cthread_pointer_to_bool.sv rename to designs/tests/cthread/cthread_pointer_to_bool.sv diff --git a/tests/cthread/cthread_pointers.sv b/designs/tests/cthread/cthread_pointers.sv similarity index 100% rename from tests/cthread/cthread_pointers.sv rename to designs/tests/cthread/cthread_pointers.sv diff --git a/tests/cthread/cthread_read_defined.sv b/designs/tests/cthread/cthread_read_defined.sv similarity index 100% rename from tests/cthread/cthread_read_defined.sv rename to designs/tests/cthread/cthread_read_defined.sv diff --git a/tests/cthread/cthread_read_defined_0.sv b/designs/tests/cthread/cthread_read_defined_0.sv similarity index 100% rename from tests/cthread/cthread_read_defined_0.sv rename to designs/tests/cthread/cthread_read_defined_0.sv diff --git a/tests/cthread/cthread_read_defined_1.sv b/designs/tests/cthread/cthread_read_defined_1.sv similarity index 100% rename from tests/cthread/cthread_read_defined_1.sv rename to designs/tests/cthread/cthread_read_defined_1.sv diff --git a/tests/cthread/cthread_read_defined_2.sv b/designs/tests/cthread/cthread_read_defined_2.sv similarity index 100% rename from tests/cthread/cthread_read_defined_2.sv rename to designs/tests/cthread/cthread_read_defined_2.sv diff --git a/tests/cthread/cthread_read_defined_3.sv b/designs/tests/cthread/cthread_read_defined_3.sv similarity index 100% rename from tests/cthread/cthread_read_defined_3.sv rename to designs/tests/cthread/cthread_read_defined_3.sv diff --git a/tests/cthread/cthread_read_defined_param1.sv b/designs/tests/cthread/cthread_read_defined_param1.sv similarity index 100% rename from tests/cthread/cthread_read_defined_param1.sv rename to designs/tests/cthread/cthread_read_defined_param1.sv diff --git a/tests/cthread/cthread_read_defined_param2.sv b/designs/tests/cthread/cthread_read_defined_param2.sv similarity index 100% rename from tests/cthread/cthread_read_defined_param2.sv rename to designs/tests/cthread/cthread_read_defined_param2.sv diff --git a/tests/cthread/cthread_read_defined_param3.sv b/designs/tests/cthread/cthread_read_defined_param3.sv similarity index 100% rename from tests/cthread/cthread_read_defined_param3.sv rename to designs/tests/cthread/cthread_read_defined_param3.sv diff --git a/tests/cthread/cthread_read_defined_param4.sv b/designs/tests/cthread/cthread_read_defined_param4.sv similarity index 100% rename from tests/cthread/cthread_read_defined_param4.sv rename to designs/tests/cthread/cthread_read_defined_param4.sv diff --git a/tests/cthread/cthread_read_defined_ref.sv b/designs/tests/cthread/cthread_read_defined_ref.sv similarity index 100% rename from tests/cthread/cthread_read_defined_ref.sv rename to designs/tests/cthread/cthread_read_defined_ref.sv diff --git a/tests/cthread/cthread_read_defined_unknown.sv b/designs/tests/cthread/cthread_read_defined_unknown.sv similarity index 100% rename from tests/cthread/cthread_read_defined_unknown.sv rename to designs/tests/cthread/cthread_read_defined_unknown.sv diff --git a/tests/cthread/cthread_read_only.sv b/designs/tests/cthread/cthread_read_only.sv similarity index 100% rename from tests/cthread/cthread_read_only.sv rename to designs/tests/cthread/cthread_read_only.sv diff --git a/tests/cthread/cthread_redundant_code.sv b/designs/tests/cthread/cthread_redundant_code.sv similarity index 100% rename from tests/cthread/cthread_redundant_code.sv rename to designs/tests/cthread/cthread_redundant_code.sv diff --git a/tests/cthread/cthread_reset.sv b/designs/tests/cthread/cthread_reset.sv similarity index 100% rename from tests/cthread/cthread_reset.sv rename to designs/tests/cthread/cthread_reset.sv diff --git a/tests/cthread/cthread_reset_after.sv b/designs/tests/cthread/cthread_reset_after.sv similarity index 100% rename from tests/cthread/cthread_reset_after.sv rename to designs/tests/cthread/cthread_reset_after.sv diff --git a/tests/cthread/cthread_reset_fail.sv b/designs/tests/cthread/cthread_reset_fail.sv similarity index 100% rename from tests/cthread/cthread_reset_fail.sv rename to designs/tests/cthread/cthread_reset_fail.sv diff --git a/tests/cthread/cthread_reset_noninit_read.sv b/designs/tests/cthread/cthread_reset_noninit_read.sv similarity index 100% rename from tests/cthread/cthread_reset_noninit_read.sv rename to designs/tests/cthread/cthread_reset_noninit_read.sv diff --git a/tests/cthread/cthread_reset_warning.sv b/designs/tests/cthread/cthread_reset_warning.sv similarity index 100% rename from tests/cthread/cthread_reset_warning.sv rename to designs/tests/cthread/cthread_reset_warning.sv diff --git a/tests/cthread/cthread_return.sv b/designs/tests/cthread/cthread_return.sv similarity index 100% rename from tests/cthread/cthread_return.sv rename to designs/tests/cthread/cthread_return.sv diff --git a/tests/cthread/cthread_sel_bit.sv b/designs/tests/cthread/cthread_sel_bit.sv similarity index 100% rename from tests/cthread/cthread_sel_bit.sv rename to designs/tests/cthread/cthread_sel_bit.sv diff --git a/tests/cthread/cthread_sel_range.sv b/designs/tests/cthread/cthread_sel_range.sv similarity index 100% rename from tests/cthread/cthread_sel_range.sv rename to designs/tests/cthread/cthread_sel_range.sv diff --git a/tests/cthread/cthread_simple.sv b/designs/tests/cthread/cthread_simple.sv similarity index 100% rename from tests/cthread/cthread_simple.sv rename to designs/tests/cthread/cthread_simple.sv diff --git a/tests/cthread/cthread_simple2.sv b/designs/tests/cthread/cthread_simple2.sv similarity index 100% rename from tests/cthread/cthread_simple2.sv rename to designs/tests/cthread/cthread_simple2.sv diff --git a/tests/cthread/cthread_simple_reset.sv b/designs/tests/cthread/cthread_simple_reset.sv similarity index 100% rename from tests/cthread/cthread_simple_reset.sv rename to designs/tests/cthread/cthread_simple_reset.sv diff --git a/tests/cthread/cthread_switch.sv b/designs/tests/cthread/cthread_switch.sv similarity index 100% rename from tests/cthread/cthread_switch.sv rename to designs/tests/cthread/cthread_switch.sv diff --git a/tests/cthread/cthread_switch_after.sv b/designs/tests/cthread/cthread_switch_after.sv similarity index 100% rename from tests/cthread/cthread_switch_after.sv rename to designs/tests/cthread/cthread_switch_after.sv diff --git a/tests/cthread/cthread_switch_default.sv b/designs/tests/cthread/cthread_switch_default.sv similarity index 100% rename from tests/cthread/cthread_switch_default.sv rename to designs/tests/cthread/cthread_switch_default.sv diff --git a/tests/cthread/cthread_switch_empty_case.sv b/designs/tests/cthread/cthread_switch_empty_case.sv similarity index 100% rename from tests/cthread/cthread_switch_empty_case.sv rename to designs/tests/cthread/cthread_switch_empty_case.sv diff --git a/tests/cthread/cthread_switch_inside.sv b/designs/tests/cthread/cthread_switch_inside.sv similarity index 100% rename from tests/cthread/cthread_switch_inside.sv rename to designs/tests/cthread/cthread_switch_inside.sv diff --git a/tests/cthread/cthread_sync_async_reset.sv b/designs/tests/cthread/cthread_sync_async_reset.sv similarity index 100% rename from tests/cthread/cthread_sync_async_reset.sv rename to designs/tests/cthread/cthread_sync_async_reset.sv diff --git a/tests/cthread/cthread_var_comb.sv b/designs/tests/cthread/cthread_var_comb.sv similarity index 100% rename from tests/cthread/cthread_var_comb.sv rename to designs/tests/cthread/cthread_var_comb.sv diff --git a/tests/cthread/cthread_var_const.sv b/designs/tests/cthread/cthread_var_const.sv similarity index 100% rename from tests/cthread/cthread_var_const.sv rename to designs/tests/cthread/cthread_var_const.sv diff --git a/tests/cthread/cthread_var_multiple_use.sv b/designs/tests/cthread/cthread_var_multiple_use.sv similarity index 100% rename from tests/cthread/cthread_var_multiple_use.sv rename to designs/tests/cthread/cthread_var_multiple_use.sv diff --git a/tests/cthread/cthread_var_ref.sv b/designs/tests/cthread/cthread_var_ref.sv similarity index 100% rename from tests/cthread/cthread_var_ref.sv rename to designs/tests/cthread/cthread_var_ref.sv diff --git a/tests/cthread/cthread_var_reg.sv b/designs/tests/cthread/cthread_var_reg.sv similarity index 100% rename from tests/cthread/cthread_var_reg.sv rename to designs/tests/cthread/cthread_var_reg.sv diff --git a/tests/cthread/cthread_varname.sv b/designs/tests/cthread/cthread_varname.sv similarity index 100% rename from tests/cthread/cthread_varname.sv rename to designs/tests/cthread/cthread_varname.sv diff --git a/tests/cthread/cthread_virtual_wait.sv b/designs/tests/cthread/cthread_virtual_wait.sv similarity index 100% rename from tests/cthread/cthread_virtual_wait.sv rename to designs/tests/cthread/cthread_virtual_wait.sv diff --git a/tests/cthread/cthread_wait_n.sv b/designs/tests/cthread/cthread_wait_n.sv similarity index 100% rename from tests/cthread/cthread_wait_n.sv rename to designs/tests/cthread/cthread_wait_n.sv diff --git a/tests/cthread/cthread_wait_states.sv b/designs/tests/cthread/cthread_wait_states.sv similarity index 100% rename from tests/cthread/cthread_wait_states.sv rename to designs/tests/cthread/cthread_wait_states.sv diff --git a/tests/cthread/cthread_while.sv b/designs/tests/cthread/cthread_while.sv similarity index 100% rename from tests/cthread/cthread_while.sv rename to designs/tests/cthread/cthread_while.sv diff --git a/tests/cthread/cthread_while_const.sv b/designs/tests/cthread/cthread_while_const.sv similarity index 100% rename from tests/cthread/cthread_while_const.sv rename to designs/tests/cthread/cthread_while_const.sv diff --git a/tests/cthread/cthread_while_other_types.sv b/designs/tests/cthread/cthread_while_other_types.sv similarity index 100% rename from tests/cthread/cthread_while_other_types.sv rename to designs/tests/cthread/cthread_while_other_types.sv diff --git a/tests/cthread/rtl_fifo.sv b/designs/tests/cthread/rtl_fifo.sv similarity index 100% rename from tests/cthread/rtl_fifo.sv rename to designs/tests/cthread/rtl_fifo.sv diff --git a/tests/cthread/simple_fsm.sv b/designs/tests/cthread/simple_fsm.sv similarity index 100% rename from tests/cthread/simple_fsm.sv rename to designs/tests/cthread/simple_fsm.sv diff --git a/tests/cthread/test_bhv_fifo.cpp b/designs/tests/cthread/test_bhv_fifo.cpp similarity index 100% rename from tests/cthread/test_bhv_fifo.cpp rename to designs/tests/cthread/test_bhv_fifo.cpp diff --git a/tests/cthread/test_break.cpp b/designs/tests/cthread/test_break.cpp similarity index 100% rename from tests/cthread/test_break.cpp rename to designs/tests/cthread/test_break.cpp diff --git a/tests/cthread/test_continue.cpp b/designs/tests/cthread/test_continue.cpp similarity index 100% rename from tests/cthread/test_continue.cpp rename to designs/tests/cthread/test_continue.cpp diff --git a/tests/cthread/test_cthread_alive_loop.cpp b/designs/tests/cthread/test_cthread_alive_loop.cpp similarity index 100% rename from tests/cthread/test_cthread_alive_loop.cpp rename to designs/tests/cthread/test_cthread_alive_loop.cpp diff --git a/tests/cthread/test_cthread_array.cpp b/designs/tests/cthread/test_cthread_array.cpp similarity index 100% rename from tests/cthread/test_cthread_array.cpp rename to designs/tests/cthread/test_cthread_array.cpp diff --git a/tests/cthread/test_cthread_array_access.cpp b/designs/tests/cthread/test_cthread_array_access.cpp similarity index 100% rename from tests/cthread/test_cthread_array_access.cpp rename to designs/tests/cthread/test_cthread_array_access.cpp diff --git a/tests/cthread/test_cthread_array_comb_reg.cpp b/designs/tests/cthread/test_cthread_array_comb_reg.cpp similarity index 100% rename from tests/cthread/test_cthread_array_comb_reg.cpp rename to designs/tests/cthread/test_cthread_array_comb_reg.cpp diff --git a/tests/cthread/test_cthread_break_via_func.cpp b/designs/tests/cthread/test_cthread_break_via_func.cpp similarity index 100% rename from tests/cthread/test_cthread_break_via_func.cpp rename to designs/tests/cthread/test_cthread_break_via_func.cpp diff --git a/tests/cthread/test_cthread_concat.cpp b/designs/tests/cthread/test_cthread_concat.cpp similarity index 100% rename from tests/cthread/test_cthread_concat.cpp rename to designs/tests/cthread/test_cthread_concat.cpp diff --git a/tests/cthread/test_cthread_const_array.cpp b/designs/tests/cthread/test_cthread_const_array.cpp similarity index 100% rename from tests/cthread/test_cthread_const_array.cpp rename to designs/tests/cthread/test_cthread_const_array.cpp diff --git a/tests/cthread/test_cthread_const_fail.cpp b/designs/tests/cthread/test_cthread_const_fail.cpp similarity index 100% rename from tests/cthread/test_cthread_const_fail.cpp rename to designs/tests/cthread/test_cthread_const_fail.cpp diff --git a/tests/cthread/test_cthread_const_prop.cpp b/designs/tests/cthread/test_cthread_const_prop.cpp similarity index 100% rename from tests/cthread/test_cthread_const_prop.cpp rename to designs/tests/cthread/test_cthread_const_prop.cpp diff --git a/tests/cthread/test_cthread_duplicate_states.cpp b/designs/tests/cthread/test_cthread_duplicate_states.cpp similarity index 100% rename from tests/cthread/test_cthread_duplicate_states.cpp rename to designs/tests/cthread/test_cthread_duplicate_states.cpp diff --git a/tests/cthread/test_cthread_fcall.cpp b/designs/tests/cthread/test_cthread_fcall.cpp similarity index 100% rename from tests/cthread/test_cthread_fcall.cpp rename to designs/tests/cthread/test_cthread_fcall.cpp diff --git a/tests/cthread/test_cthread_fcall_const_eval.cpp b/designs/tests/cthread/test_cthread_fcall_const_eval.cpp similarity index 100% rename from tests/cthread/test_cthread_fcall_const_eval.cpp rename to designs/tests/cthread/test_cthread_fcall_const_eval.cpp diff --git a/tests/cthread/test_cthread_for.cpp b/designs/tests/cthread/test_cthread_for.cpp similarity index 100% rename from tests/cthread/test_cthread_for.cpp rename to designs/tests/cthread/test_cthread_for.cpp diff --git a/tests/cthread/test_cthread_for_cntr_scint.cpp b/designs/tests/cthread/test_cthread_for_cntr_scint.cpp similarity index 100% rename from tests/cthread/test_cthread_for_cntr_scint.cpp rename to designs/tests/cthread/test_cthread_for_cntr_scint.cpp diff --git a/tests/cthread/test_cthread_for_if.cpp b/designs/tests/cthread/test_cthread_for_if.cpp similarity index 100% rename from tests/cthread/test_cthread_for_if.cpp rename to designs/tests/cthread/test_cthread_for_if.cpp diff --git a/tests/cthread/test_cthread_for_other_types.cpp b/designs/tests/cthread/test_cthread_for_other_types.cpp similarity index 100% rename from tests/cthread/test_cthread_for_other_types.cpp rename to designs/tests/cthread/test_cthread_for_other_types.cpp diff --git a/tests/cthread/test_cthread_initvar_inf_loop.cpp b/designs/tests/cthread/test_cthread_initvar_inf_loop.cpp similarity index 100% rename from tests/cthread/test_cthread_initvar_inf_loop.cpp rename to designs/tests/cthread/test_cthread_initvar_inf_loop.cpp diff --git a/tests/cthread/test_cthread_inner_loops.cpp b/designs/tests/cthread/test_cthread_inner_loops.cpp similarity index 100% rename from tests/cthread/test_cthread_inner_loops.cpp rename to designs/tests/cthread/test_cthread_inner_loops.cpp diff --git a/tests/cthread/test_cthread_loop_fail.cpp b/designs/tests/cthread/test_cthread_loop_fail.cpp similarity index 100% rename from tests/cthread/test_cthread_loop_fail.cpp rename to designs/tests/cthread/test_cthread_loop_fail.cpp diff --git a/tests/cthread/test_cthread_loop_fail2.cpp b/designs/tests/cthread/test_cthread_loop_fail2.cpp similarity index 100% rename from tests/cthread/test_cthread_loop_fail2.cpp rename to designs/tests/cthread/test_cthread_loop_fail2.cpp diff --git a/tests/cthread/test_cthread_loop_fail3.cpp b/designs/tests/cthread/test_cthread_loop_fail3.cpp similarity index 100% rename from tests/cthread/test_cthread_loop_fail3.cpp rename to designs/tests/cthread/test_cthread_loop_fail3.cpp diff --git a/tests/cthread/test_cthread_loop_fail4.cpp b/designs/tests/cthread/test_cthread_loop_fail4.cpp similarity index 100% rename from tests/cthread/test_cthread_loop_fail4.cpp rename to designs/tests/cthread/test_cthread_loop_fail4.cpp diff --git a/tests/cthread/test_cthread_loop_fail5.cpp b/designs/tests/cthread/test_cthread_loop_fail5.cpp similarity index 100% rename from tests/cthread/test_cthread_loop_fail5.cpp rename to designs/tests/cthread/test_cthread_loop_fail5.cpp diff --git a/tests/cthread/test_cthread_loop_fail6.cpp b/designs/tests/cthread/test_cthread_loop_fail6.cpp similarity index 100% rename from tests/cthread/test_cthread_loop_fail6.cpp rename to designs/tests/cthread/test_cthread_loop_fail6.cpp diff --git a/tests/cthread/test_cthread_loop_fail7.cpp b/designs/tests/cthread/test_cthread_loop_fail7.cpp similarity index 100% rename from tests/cthread/test_cthread_loop_fail7.cpp rename to designs/tests/cthread/test_cthread_loop_fail7.cpp diff --git a/tests/cthread/test_cthread_mult_resets.cpp b/designs/tests/cthread/test_cthread_mult_resets.cpp similarity index 100% rename from tests/cthread/test_cthread_mult_resets.cpp rename to designs/tests/cthread/test_cthread_mult_resets.cpp diff --git a/tests/cthread/test_cthread_multi_wait_reset.cpp b/designs/tests/cthread/test_cthread_multi_wait_reset.cpp similarity index 100% rename from tests/cthread/test_cthread_multi_wait_reset.cpp rename to designs/tests/cthread/test_cthread_multi_wait_reset.cpp diff --git a/tests/cthread/test_cthread_read_defined.cpp b/designs/tests/cthread/test_cthread_read_defined.cpp similarity index 100% rename from tests/cthread/test_cthread_read_defined.cpp rename to designs/tests/cthread/test_cthread_read_defined.cpp diff --git a/tests/cthread/test_cthread_read_defined_0.cpp b/designs/tests/cthread/test_cthread_read_defined_0.cpp similarity index 100% rename from tests/cthread/test_cthread_read_defined_0.cpp rename to designs/tests/cthread/test_cthread_read_defined_0.cpp diff --git a/tests/cthread/test_cthread_read_defined_1.cpp b/designs/tests/cthread/test_cthread_read_defined_1.cpp similarity index 100% rename from tests/cthread/test_cthread_read_defined_1.cpp rename to designs/tests/cthread/test_cthread_read_defined_1.cpp diff --git a/tests/cthread/test_cthread_read_defined_2.cpp b/designs/tests/cthread/test_cthread_read_defined_2.cpp similarity index 100% rename from tests/cthread/test_cthread_read_defined_2.cpp rename to designs/tests/cthread/test_cthread_read_defined_2.cpp diff --git a/tests/cthread/test_cthread_read_defined_3.cpp b/designs/tests/cthread/test_cthread_read_defined_3.cpp similarity index 100% rename from tests/cthread/test_cthread_read_defined_3.cpp rename to designs/tests/cthread/test_cthread_read_defined_3.cpp diff --git a/tests/cthread/test_cthread_read_defined_param1.cpp b/designs/tests/cthread/test_cthread_read_defined_param1.cpp similarity index 100% rename from tests/cthread/test_cthread_read_defined_param1.cpp rename to designs/tests/cthread/test_cthread_read_defined_param1.cpp diff --git a/tests/cthread/test_cthread_read_defined_param2.cpp b/designs/tests/cthread/test_cthread_read_defined_param2.cpp similarity index 100% rename from tests/cthread/test_cthread_read_defined_param2.cpp rename to designs/tests/cthread/test_cthread_read_defined_param2.cpp diff --git a/tests/cthread/test_cthread_read_defined_param3.cpp b/designs/tests/cthread/test_cthread_read_defined_param3.cpp similarity index 100% rename from tests/cthread/test_cthread_read_defined_param3.cpp rename to designs/tests/cthread/test_cthread_read_defined_param3.cpp diff --git a/tests/cthread/test_cthread_read_defined_param4.cpp b/designs/tests/cthread/test_cthread_read_defined_param4.cpp similarity index 100% rename from tests/cthread/test_cthread_read_defined_param4.cpp rename to designs/tests/cthread/test_cthread_read_defined_param4.cpp diff --git a/tests/cthread/test_cthread_read_defined_ref.cpp b/designs/tests/cthread/test_cthread_read_defined_ref.cpp similarity index 100% rename from tests/cthread/test_cthread_read_defined_ref.cpp rename to designs/tests/cthread/test_cthread_read_defined_ref.cpp diff --git a/tests/cthread/test_cthread_read_defined_unknown.cpp b/designs/tests/cthread/test_cthread_read_defined_unknown.cpp similarity index 100% rename from tests/cthread/test_cthread_read_defined_unknown.cpp rename to designs/tests/cthread/test_cthread_read_defined_unknown.cpp diff --git a/tests/cthread/test_cthread_read_only.cpp b/designs/tests/cthread/test_cthread_read_only.cpp similarity index 100% rename from tests/cthread/test_cthread_read_only.cpp rename to designs/tests/cthread/test_cthread_read_only.cpp diff --git a/tests/cthread/test_cthread_reset.cpp b/designs/tests/cthread/test_cthread_reset.cpp similarity index 100% rename from tests/cthread/test_cthread_reset.cpp rename to designs/tests/cthread/test_cthread_reset.cpp diff --git a/tests/cthread/test_cthread_reset_after.cpp b/designs/tests/cthread/test_cthread_reset_after.cpp similarity index 100% rename from tests/cthread/test_cthread_reset_after.cpp rename to designs/tests/cthread/test_cthread_reset_after.cpp diff --git a/tests/cthread/test_cthread_reset_fail.cpp b/designs/tests/cthread/test_cthread_reset_fail.cpp similarity index 100% rename from tests/cthread/test_cthread_reset_fail.cpp rename to designs/tests/cthread/test_cthread_reset_fail.cpp diff --git a/tests/cthread/test_cthread_reset_warning.cpp b/designs/tests/cthread/test_cthread_reset_warning.cpp similarity index 100% rename from tests/cthread/test_cthread_reset_warning.cpp rename to designs/tests/cthread/test_cthread_reset_warning.cpp diff --git a/tests/cthread/test_cthread_sel_bit.cpp b/designs/tests/cthread/test_cthread_sel_bit.cpp similarity index 100% rename from tests/cthread/test_cthread_sel_bit.cpp rename to designs/tests/cthread/test_cthread_sel_bit.cpp diff --git a/tests/cthread/test_cthread_sel_range.cpp b/designs/tests/cthread/test_cthread_sel_range.cpp similarity index 100% rename from tests/cthread/test_cthread_sel_range.cpp rename to designs/tests/cthread/test_cthread_sel_range.cpp diff --git a/tests/cthread/test_cthread_simple.cpp b/designs/tests/cthread/test_cthread_simple.cpp similarity index 100% rename from tests/cthread/test_cthread_simple.cpp rename to designs/tests/cthread/test_cthread_simple.cpp diff --git a/tests/cthread/test_cthread_simple2.cpp b/designs/tests/cthread/test_cthread_simple2.cpp similarity index 100% rename from tests/cthread/test_cthread_simple2.cpp rename to designs/tests/cthread/test_cthread_simple2.cpp diff --git a/tests/cthread/test_cthread_simple_reset.cpp b/designs/tests/cthread/test_cthread_simple_reset.cpp similarity index 100% rename from tests/cthread/test_cthread_simple_reset.cpp rename to designs/tests/cthread/test_cthread_simple_reset.cpp diff --git a/tests/cthread/test_cthread_switch.cpp b/designs/tests/cthread/test_cthread_switch.cpp similarity index 100% rename from tests/cthread/test_cthread_switch.cpp rename to designs/tests/cthread/test_cthread_switch.cpp diff --git a/tests/cthread/test_cthread_switch_after.cpp b/designs/tests/cthread/test_cthread_switch_after.cpp similarity index 100% rename from tests/cthread/test_cthread_switch_after.cpp rename to designs/tests/cthread/test_cthread_switch_after.cpp diff --git a/tests/cthread/test_cthread_switch_default.cpp b/designs/tests/cthread/test_cthread_switch_default.cpp similarity index 100% rename from tests/cthread/test_cthread_switch_default.cpp rename to designs/tests/cthread/test_cthread_switch_default.cpp diff --git a/tests/cthread/test_cthread_switch_empty_case.cpp b/designs/tests/cthread/test_cthread_switch_empty_case.cpp similarity index 100% rename from tests/cthread/test_cthread_switch_empty_case.cpp rename to designs/tests/cthread/test_cthread_switch_empty_case.cpp diff --git a/tests/cthread/test_cthread_switch_inside.cpp b/designs/tests/cthread/test_cthread_switch_inside.cpp similarity index 100% rename from tests/cthread/test_cthread_switch_inside.cpp rename to designs/tests/cthread/test_cthread_switch_inside.cpp diff --git a/tests/cthread/test_cthread_sync_async_reset.cpp b/designs/tests/cthread/test_cthread_sync_async_reset.cpp similarity index 100% rename from tests/cthread/test_cthread_sync_async_reset.cpp rename to designs/tests/cthread/test_cthread_sync_async_reset.cpp diff --git a/tests/cthread/test_cthread_var_comb.cpp b/designs/tests/cthread/test_cthread_var_comb.cpp similarity index 100% rename from tests/cthread/test_cthread_var_comb.cpp rename to designs/tests/cthread/test_cthread_var_comb.cpp diff --git a/tests/cthread/test_cthread_var_const.cpp b/designs/tests/cthread/test_cthread_var_const.cpp similarity index 100% rename from tests/cthread/test_cthread_var_const.cpp rename to designs/tests/cthread/test_cthread_var_const.cpp diff --git a/tests/cthread/test_cthread_var_multiple_use.cpp b/designs/tests/cthread/test_cthread_var_multiple_use.cpp similarity index 100% rename from tests/cthread/test_cthread_var_multiple_use.cpp rename to designs/tests/cthread/test_cthread_var_multiple_use.cpp diff --git a/tests/cthread/test_cthread_var_ref.cpp b/designs/tests/cthread/test_cthread_var_ref.cpp similarity index 100% rename from tests/cthread/test_cthread_var_ref.cpp rename to designs/tests/cthread/test_cthread_var_ref.cpp diff --git a/tests/cthread/test_cthread_var_reg.cpp b/designs/tests/cthread/test_cthread_var_reg.cpp similarity index 100% rename from tests/cthread/test_cthread_var_reg.cpp rename to designs/tests/cthread/test_cthread_var_reg.cpp diff --git a/tests/cthread/test_cthread_varname.cpp b/designs/tests/cthread/test_cthread_varname.cpp similarity index 100% rename from tests/cthread/test_cthread_varname.cpp rename to designs/tests/cthread/test_cthread_varname.cpp diff --git a/tests/cthread/test_cthread_virtual_wait.cpp b/designs/tests/cthread/test_cthread_virtual_wait.cpp similarity index 100% rename from tests/cthread/test_cthread_virtual_wait.cpp rename to designs/tests/cthread/test_cthread_virtual_wait.cpp diff --git a/tests/cthread/test_cthread_wait_n.cpp b/designs/tests/cthread/test_cthread_wait_n.cpp similarity index 100% rename from tests/cthread/test_cthread_wait_n.cpp rename to designs/tests/cthread/test_cthread_wait_n.cpp diff --git a/tests/cthread/test_cthread_wait_n_fail.cpp b/designs/tests/cthread/test_cthread_wait_n_fail.cpp similarity index 100% rename from tests/cthread/test_cthread_wait_n_fail.cpp rename to designs/tests/cthread/test_cthread_wait_n_fail.cpp diff --git a/tests/cthread/test_cthread_wait_n_fail2.cpp b/designs/tests/cthread/test_cthread_wait_n_fail2.cpp similarity index 100% rename from tests/cthread/test_cthread_wait_n_fail2.cpp rename to designs/tests/cthread/test_cthread_wait_n_fail2.cpp diff --git a/tests/cthread/test_cthread_wait_states.cpp b/designs/tests/cthread/test_cthread_wait_states.cpp similarity index 100% rename from tests/cthread/test_cthread_wait_states.cpp rename to designs/tests/cthread/test_cthread_wait_states.cpp diff --git a/tests/cthread/test_do_while.cpp b/designs/tests/cthread/test_do_while.cpp similarity index 100% rename from tests/cthread/test_do_while.cpp rename to designs/tests/cthread/test_do_while.cpp diff --git a/tests/cthread/test_do_while_always1.cpp b/designs/tests/cthread/test_do_while_always1.cpp similarity index 100% rename from tests/cthread/test_do_while_always1.cpp rename to designs/tests/cthread/test_do_while_always1.cpp diff --git a/tests/cthread/test_do_while_const.cpp b/designs/tests/cthread/test_do_while_const.cpp similarity index 100% rename from tests/cthread/test_do_while_const.cpp rename to designs/tests/cthread/test_do_while_const.cpp diff --git a/tests/cthread/test_do_while_other_types.cpp b/designs/tests/cthread/test_do_while_other_types.cpp similarity index 100% rename from tests/cthread/test_do_while_other_types.cpp rename to designs/tests/cthread/test_do_while_other_types.cpp diff --git a/tests/cthread/test_fcall_in_reset.cpp b/designs/tests/cthread/test_fcall_in_reset.cpp similarity index 100% rename from tests/cthread/test_fcall_in_reset.cpp rename to designs/tests/cthread/test_fcall_in_reset.cpp diff --git a/tests/cthread/test_fcall_loop_fail.cpp b/designs/tests/cthread/test_fcall_loop_fail.cpp similarity index 100% rename from tests/cthread/test_fcall_loop_fail.cpp rename to designs/tests/cthread/test_fcall_loop_fail.cpp diff --git a/tests/cthread/test_fcall_ref.cpp b/designs/tests/cthread/test_fcall_ref.cpp similarity index 100% rename from tests/cthread/test_fcall_ref.cpp rename to designs/tests/cthread/test_fcall_ref.cpp diff --git a/tests/cthread/test_if.cpp b/designs/tests/cthread/test_if.cpp similarity index 100% rename from tests/cthread/test_if.cpp rename to designs/tests/cthread/test_if.cpp diff --git a/tests/cthread/test_if_const.cpp b/designs/tests/cthread/test_if_const.cpp similarity index 100% rename from tests/cthread/test_if_const.cpp rename to designs/tests/cthread/test_if_const.cpp diff --git a/tests/cthread/test_mif_array_differ.cpp b/designs/tests/cthread/test_mif_array_differ.cpp similarity index 100% rename from tests/cthread/test_mif_array_differ.cpp rename to designs/tests/cthread/test_mif_array_differ.cpp diff --git a/tests/cthread/test_mod_array_differ.cpp b/designs/tests/cthread/test_mod_array_differ.cpp similarity index 100% rename from tests/cthread/test_mod_array_differ.cpp rename to designs/tests/cthread/test_mod_array_differ.cpp diff --git a/tests/cthread/test_no_reset_fail.cpp b/designs/tests/cthread/test_no_reset_fail.cpp similarity index 100% rename from tests/cthread/test_no_reset_fail.cpp rename to designs/tests/cthread/test_no_reset_fail.cpp diff --git a/tests/cthread/test_pointer_to_bool.cpp b/designs/tests/cthread/test_pointer_to_bool.cpp similarity index 100% rename from tests/cthread/test_pointer_to_bool.cpp rename to designs/tests/cthread/test_pointer_to_bool.cpp diff --git a/tests/cthread/test_pointers.cpp b/designs/tests/cthread/test_pointers.cpp similarity index 100% rename from tests/cthread/test_pointers.cpp rename to designs/tests/cthread/test_pointers.cpp diff --git a/tests/cthread/test_reset_noninit_read.cpp b/designs/tests/cthread/test_reset_noninit_read.cpp similarity index 100% rename from tests/cthread/test_reset_noninit_read.cpp rename to designs/tests/cthread/test_reset_noninit_read.cpp diff --git a/tests/cthread/test_return.cpp b/designs/tests/cthread/test_return.cpp similarity index 100% rename from tests/cthread/test_return.cpp rename to designs/tests/cthread/test_return.cpp diff --git a/tests/cthread/test_rtl_fifo.cpp b/designs/tests/cthread/test_rtl_fifo.cpp similarity index 100% rename from tests/cthread/test_rtl_fifo.cpp rename to designs/tests/cthread/test_rtl_fifo.cpp diff --git a/tests/cthread/test_while.cpp b/designs/tests/cthread/test_while.cpp similarity index 100% rename from tests/cthread/test_while.cpp rename to designs/tests/cthread/test_while.cpp diff --git a/tests/cthread/test_while_const.cpp b/designs/tests/cthread/test_while_const.cpp similarity index 100% rename from tests/cthread/test_while_const.cpp rename to designs/tests/cthread/test_while_const.cpp diff --git a/tests/cthread/test_while_other_types.cpp b/designs/tests/cthread/test_while_other_types.cpp similarity index 100% rename from tests/cthread/test_while_other_types.cpp rename to designs/tests/cthread/test_while_other_types.cpp diff --git a/tests/method/CMakeLists.txt b/designs/tests/method/CMakeLists.txt similarity index 100% rename from tests/method/CMakeLists.txt rename to designs/tests/method/CMakeLists.txt diff --git a/tests/method/method_array.sv b/designs/tests/method/method_array.sv similarity index 100% rename from tests/method/method_array.sv rename to designs/tests/method/method_array.sv diff --git a/tests/method/method_array_in_if.sv b/designs/tests/method/method_array_in_if.sv similarity index 100% rename from tests/method/method_array_in_if.sv rename to designs/tests/method/method_array_in_if.sv diff --git a/tests/method/method_array_init.sv b/designs/tests/method/method_array_init.sv similarity index 100% rename from tests/method/method_array_init.sv rename to designs/tests/method/method_array_init.sv diff --git a/tests/method/method_array_param.sv b/designs/tests/method/method_array_param.sv similarity index 100% rename from tests/method/method_array_param.sv rename to designs/tests/method/method_array_param.sv diff --git a/tests/method/method_array_return.sv b/designs/tests/method/method_array_return.sv similarity index 100% rename from tests/method/method_array_return.sv rename to designs/tests/method/method_array_return.sv diff --git a/tests/method/method_array_unknown.sv b/designs/tests/method/method_array_unknown.sv similarity index 100% rename from tests/method/method_array_unknown.sv rename to designs/tests/method/method_array_unknown.sv diff --git a/tests/method/method_auto_type.sv b/designs/tests/method/method_auto_type.sv similarity index 100% rename from tests/method/method_auto_type.sv rename to designs/tests/method/method_auto_type.sv diff --git a/tests/method/method_binary.sv b/designs/tests/method/method_binary.sv similarity index 100% rename from tests/method/method_binary.sv rename to designs/tests/method/method_binary.sv diff --git a/tests/method/method_binary_expr_signed.sv b/designs/tests/method/method_binary_expr_signed.sv similarity index 100% rename from tests/method/method_binary_expr_signed.sv rename to designs/tests/method/method_binary_expr_signed.sv diff --git a/tests/method/method_binary_sc_types.sv b/designs/tests/method/method_binary_sc_types.sv similarity index 100% rename from tests/method/method_binary_sc_types.sv rename to designs/tests/method/method_binary_sc_types.sv diff --git a/tests/method/method_binary_signed.sv b/designs/tests/method/method_binary_signed.sv similarity index 100% rename from tests/method/method_binary_signed.sv rename to designs/tests/method/method_binary_signed.sv diff --git a/tests/method/method_bit_range_access.sv b/designs/tests/method/method_bit_range_access.sv similarity index 100% rename from tests/method/method_bit_range_access.sv rename to designs/tests/method/method_bit_range_access.sv diff --git a/tests/method/method_bitwise_compl.sv b/designs/tests/method/method_bitwise_compl.sv similarity index 100% rename from tests/method/method_bitwise_compl.sv rename to designs/tests/method/method_bitwise_compl.sv diff --git a/tests/method/method_bool.sv b/designs/tests/method/method_bool.sv similarity index 100% rename from tests/method/method_bool.sv rename to designs/tests/method/method_bool.sv diff --git a/tests/method/method_break.sv b/designs/tests/method/method_break.sv similarity index 100% rename from tests/method/method_break.sv rename to designs/tests/method/method_break.sv diff --git a/tests/method/method_cast.sv b/designs/tests/method/method_cast.sv similarity index 100% rename from tests/method/method_cast.sv rename to designs/tests/method/method_cast.sv diff --git a/tests/method/method_compound.sv b/designs/tests/method/method_compound.sv similarity index 100% rename from tests/method/method_compound.sv rename to designs/tests/method/method_compound.sv diff --git a/tests/method/method_concat.sv b/designs/tests/method/method_concat.sv similarity index 100% rename from tests/method/method_concat.sv rename to designs/tests/method/method_concat.sv diff --git a/tests/method/method_cond_cast.sv b/designs/tests/method/method_cond_cast.sv similarity index 100% rename from tests/method/method_cond_cast.sv rename to designs/tests/method/method_cond_cast.sv diff --git a/tests/method/method_cond_oper.sv b/designs/tests/method/method_cond_oper.sv similarity index 100% rename from tests/method/method_cond_oper.sv rename to designs/tests/method/method_cond_oper.sv diff --git a/tests/method/method_cond_side_effect.sv b/designs/tests/method/method_cond_side_effect.sv similarity index 100% rename from tests/method/method_cond_side_effect.sv rename to designs/tests/method/method_cond_side_effect.sv diff --git a/tests/method/method_const.sv b/designs/tests/method/method_const.sv similarity index 100% rename from tests/method/method_const.sv rename to designs/tests/method/method_const.sv diff --git a/tests/method/method_const_array.sv b/designs/tests/method/method_const_array.sv similarity index 100% rename from tests/method/method_const_array.sv rename to designs/tests/method/method_const_array.sv diff --git a/tests/method/method_const_binary.sv b/designs/tests/method/method_const_binary.sv similarity index 100% rename from tests/method/method_const_binary.sv rename to designs/tests/method/method_const_binary.sv diff --git a/tests/method/method_const_compl_cond.sv b/designs/tests/method/method_const_compl_cond.sv similarity index 100% rename from tests/method/method_const_compl_cond.sv rename to designs/tests/method/method_const_compl_cond.sv diff --git a/tests/method/method_const_cond_narrow.sv b/designs/tests/method/method_const_cond_narrow.sv similarity index 100% rename from tests/method/method_const_cond_narrow.sv rename to designs/tests/method/method_const_cond_narrow.sv diff --git a/tests/method/method_const_if.sv b/designs/tests/method/method_const_if.sv similarity index 100% rename from tests/method/method_const_if.sv rename to designs/tests/method/method_const_if.sv diff --git a/tests/method/method_const_if2.sv b/designs/tests/method/method_const_if2.sv similarity index 100% rename from tests/method/method_const_if2.sv rename to designs/tests/method/method_const_if2.sv diff --git a/tests/method/method_const_liter.sv b/designs/tests/method/method_const_liter.sv similarity index 100% rename from tests/method/method_const_liter.sv rename to designs/tests/method/method_const_liter.sv diff --git a/tests/method/method_const_liter_compound.sv b/designs/tests/method/method_const_liter_compound.sv similarity index 100% rename from tests/method/method_const_liter_compound.sv rename to designs/tests/method/method_const_liter_compound.sv diff --git a/tests/method/method_const_prop.sv b/designs/tests/method/method_const_prop.sv similarity index 100% rename from tests/method/method_const_prop.sv rename to designs/tests/method/method_const_prop.sv diff --git a/tests/method/method_const_prop_loop.sv b/designs/tests/method/method_const_prop_loop.sv similarity index 100% rename from tests/method/method_const_prop_loop.sv rename to designs/tests/method/method_const_prop_loop.sv diff --git a/tests/method/method_const_prop_range.sv b/designs/tests/method/method_const_prop_range.sv similarity index 100% rename from tests/method/method_const_prop_range.sv rename to designs/tests/method/method_const_prop_range.sv diff --git a/tests/method/method_const_static_mem.sv b/designs/tests/method/method_const_static_mem.sv similarity index 100% rename from tests/method/method_const_static_mem.sv rename to designs/tests/method/method_const_static_mem.sv diff --git a/tests/method/method_continue.sv b/designs/tests/method/method_continue.sv similarity index 100% rename from tests/method/method_continue.sv rename to designs/tests/method/method_continue.sv diff --git a/tests/method/method_decoder.sv b/designs/tests/method/method_decoder.sv similarity index 100% rename from tests/method/method_decoder.sv rename to designs/tests/method/method_decoder.sv diff --git a/tests/method/method_dowhile.sv b/designs/tests/method/method_dowhile.sv similarity index 100% rename from tests/method/method_dowhile.sv rename to designs/tests/method/method_dowhile.sv diff --git a/tests/method/method_dowhile_other.sv b/designs/tests/method/method_dowhile_other.sv similarity index 100% rename from tests/method/method_dowhile_other.sv rename to designs/tests/method/method_dowhile_other.sv diff --git a/tests/method/method_empty_sensvty.sv b/designs/tests/method/method_empty_sensvty.sv similarity index 100% rename from tests/method/method_empty_sensvty.sv rename to designs/tests/method/method_empty_sensvty.sv diff --git a/tests/method/method_enum.sv b/designs/tests/method/method_enum.sv similarity index 100% rename from tests/method/method_enum.sv rename to designs/tests/method/method_enum.sv diff --git a/tests/method/method_explicit_specialz_templ.sv b/designs/tests/method/method_explicit_specialz_templ.sv similarity index 100% rename from tests/method/method_explicit_specialz_templ.sv rename to designs/tests/method/method_explicit_specialz_templ.sv diff --git a/tests/method/method_explicit_type_conv.sv b/designs/tests/method/method_explicit_type_conv.sv similarity index 100% rename from tests/method/method_explicit_type_conv.sv rename to designs/tests/method/method_explicit_type_conv.sv diff --git a/tests/method/method_fcall.sv b/designs/tests/method/method_fcall.sv similarity index 100% rename from tests/method/method_fcall.sv rename to designs/tests/method/method_fcall.sv diff --git a/tests/method/method_fcall_base.sv b/designs/tests/method/method_fcall_base.sv similarity index 100% rename from tests/method/method_fcall_base.sv rename to designs/tests/method/method_fcall_base.sv diff --git a/tests/method/method_fcall_const_eval.sv b/designs/tests/method/method_fcall_const_eval.sv similarity index 100% rename from tests/method/method_fcall_const_eval.sv rename to designs/tests/method/method_fcall_const_eval.sv diff --git a/tests/method/method_fcall_const_eval2.sv b/designs/tests/method/method_fcall_const_eval2.sv similarity index 100% rename from tests/method/method_fcall_const_eval2.sv rename to designs/tests/method/method_fcall_const_eval2.sv diff --git a/tests/method/method_fcall_ref.sv b/designs/tests/method/method_fcall_ref.sv similarity index 100% rename from tests/method/method_fcall_ref.sv rename to designs/tests/method/method_fcall_ref.sv diff --git a/tests/method/method_fcall_ref_arr_unkwn.sv b/designs/tests/method/method_fcall_ref_arr_unkwn.sv similarity index 100% rename from tests/method/method_fcall_ref_arr_unkwn.sv rename to designs/tests/method/method_fcall_ref_arr_unkwn.sv diff --git a/tests/method/method_ff_latch.sv b/designs/tests/method/method_ff_latch.sv similarity index 100% rename from tests/method/method_ff_latch.sv rename to designs/tests/method/method_ff_latch.sv diff --git a/tests/method/method_for.sv b/designs/tests/method/method_for.sv similarity index 100% rename from tests/method/method_for.sv rename to designs/tests/method/method_for.sv diff --git a/tests/method/method_for_fcall.sv b/designs/tests/method/method_for_fcall.sv similarity index 100% rename from tests/method/method_for_fcall.sv rename to designs/tests/method/method_for_fcall.sv diff --git a/tests/method/method_forloop_other_types.sv b/designs/tests/method/method_forloop_other_types.sv similarity index 100% rename from tests/method/method_forloop_other_types.sv rename to designs/tests/method/method_forloop_other_types.sv diff --git a/tests/method/method_generic_fcall.sv b/designs/tests/method/method_generic_fcall.sv similarity index 100% rename from tests/method/method_generic_fcall.sv rename to designs/tests/method/method_generic_fcall.sv diff --git a/tests/method/method_if.sv b/designs/tests/method/method_if.sv similarity index 100% rename from tests/method/method_if.sv rename to designs/tests/method/method_if.sv diff --git a/tests/method/method_latch.sv b/designs/tests/method/method_latch.sv similarity index 100% rename from tests/method/method_latch.sv rename to designs/tests/method/method_latch.sv diff --git a/tests/method/method_level1.sv b/designs/tests/method/method_level1.sv similarity index 100% rename from tests/method/method_level1.sv rename to designs/tests/method/method_level1.sv diff --git a/tests/method/method_level2.sv b/designs/tests/method/method_level2.sv similarity index 100% rename from tests/method/method_level2.sv rename to designs/tests/method/method_level2.sv diff --git a/tests/method/method_level_error.sv b/designs/tests/method/method_level_error.sv similarity index 100% rename from tests/method/method_level_error.sv rename to designs/tests/method/method_level_error.sv diff --git a/tests/method/method_mix_signed_unsigned_types.sv b/designs/tests/method/method_mix_signed_unsigned_types.sv similarity index 100% rename from tests/method/method_mix_signed_unsigned_types.sv rename to designs/tests/method/method_mix_signed_unsigned_types.sv diff --git a/tests/method/method_non_sensvty.sv b/designs/tests/method/method_non_sensvty.sv similarity index 100% rename from tests/method/method_non_sensvty.sv rename to designs/tests/method/method_non_sensvty.sv diff --git a/tests/method/method_pointers.sv b/designs/tests/method/method_pointers.sv similarity index 100% rename from tests/method/method_pointers.sv rename to designs/tests/method/method_pointers.sv diff --git a/tests/method/method_port_array_bind.sv b/designs/tests/method/method_port_array_bind.sv similarity index 100% rename from tests/method/method_port_array_bind.sv rename to designs/tests/method/method_port_array_bind.sv diff --git a/tests/method/method_return.sv b/designs/tests/method/method_return.sv similarity index 100% rename from tests/method/method_return.sv rename to designs/tests/method/method_return.sv diff --git a/tests/method/method_sc_bv.sv b/designs/tests/method/method_sc_bv.sv similarity index 100% rename from tests/method/method_sc_bv.sv rename to designs/tests/method/method_sc_bv.sv diff --git a/tests/method/method_sc_types.sv b/designs/tests/method/method_sc_types.sv similarity index 100% rename from tests/method/method_sc_types.sv rename to designs/tests/method/method_sc_types.sv diff --git a/tests/method/method_shift_type_ext.sv b/designs/tests/method/method_shift_type_ext.sv similarity index 100% rename from tests/method/method_shift_type_ext.sv rename to designs/tests/method/method_shift_type_ext.sv diff --git a/tests/method/method_shifts_signed.sv b/designs/tests/method/method_shifts_signed.sv similarity index 100% rename from tests/method/method_shifts_signed.sv rename to designs/tests/method/method_shifts_signed.sv diff --git a/tests/method/method_sig_array_access.sv b/designs/tests/method/method_sig_array_access.sv similarity index 100% rename from tests/method/method_sig_array_access.sv rename to designs/tests/method/method_sig_array_access.sv diff --git a/tests/method/method_standard_collection.sv b/designs/tests/method/method_standard_collection.sv similarity index 100% rename from tests/method/method_standard_collection.sv rename to designs/tests/method/method_standard_collection.sv diff --git a/tests/method/method_std_array.sv b/designs/tests/method/method_std_array.sv similarity index 100% rename from tests/method/method_std_array.sv rename to designs/tests/method/method_std_array.sv diff --git a/tests/method/method_stdouts_prints.sv b/designs/tests/method/method_stdouts_prints.sv similarity index 100% rename from tests/method/method_stdouts_prints.sv rename to designs/tests/method/method_stdouts_prints.sv diff --git a/tests/method/method_string_liter.sv b/designs/tests/method/method_string_liter.sv similarity index 100% rename from tests/method/method_string_liter.sv rename to designs/tests/method/method_string_liter.sv diff --git a/tests/method/method_switch.sv b/designs/tests/method/method_switch.sv similarity index 100% rename from tests/method/method_switch.sv rename to designs/tests/method/method_switch.sv diff --git a/tests/method/method_switch_after.sv b/designs/tests/method/method_switch_after.sv similarity index 100% rename from tests/method/method_switch_after.sv rename to designs/tests/method/method_switch_after.sv diff --git a/tests/method/method_switch_const.sv b/designs/tests/method/method_switch_const.sv similarity index 100% rename from tests/method/method_switch_const.sv rename to designs/tests/method/method_switch_const.sv diff --git a/tests/method/method_switch_empty_case.sv b/designs/tests/method/method_switch_empty_case.sv similarity index 100% rename from tests/method/method_switch_empty_case.sv rename to designs/tests/method/method_switch_empty_case.sv diff --git a/tests/method/method_switch_enum.sv b/designs/tests/method/method_switch_enum.sv similarity index 100% rename from tests/method/method_switch_enum.sv rename to designs/tests/method/method_switch_enum.sv diff --git a/tests/method/method_switch_inside.sv b/designs/tests/method/method_switch_inside.sv similarity index 100% rename from tests/method/method_switch_inside.sv rename to designs/tests/method/method_switch_inside.sv diff --git a/tests/method/method_trace.sv b/designs/tests/method/method_trace.sv similarity index 100% rename from tests/method/method_trace.sv rename to designs/tests/method/method_trace.sv diff --git a/tests/method/method_unary.sv b/designs/tests/method/method_unary.sv similarity index 100% rename from tests/method/method_unary.sv rename to designs/tests/method/method_unary.sv diff --git a/tests/method/method_unary_sc.sv b/designs/tests/method/method_unary_sc.sv similarity index 100% rename from tests/method/method_unary_sc.sv rename to designs/tests/method/method_unary_sc.sv diff --git a/tests/method/method_var.sv b/designs/tests/method/method_var.sv similarity index 100% rename from tests/method/method_var.sv rename to designs/tests/method/method_var.sv diff --git a/tests/method/method_var_multiple_use.sv b/designs/tests/method/method_var_multiple_use.sv similarity index 100% rename from tests/method/method_var_multiple_use.sv rename to designs/tests/method/method_var_multiple_use.sv diff --git a/tests/method/method_var_multiple_use2.sv b/designs/tests/method/method_var_multiple_use2.sv similarity index 100% rename from tests/method/method_var_multiple_use2.sv rename to designs/tests/method/method_var_multiple_use2.sv diff --git a/tests/method/method_var_multiple_use3.sv b/designs/tests/method/method_var_multiple_use3.sv similarity index 100% rename from tests/method/method_var_multiple_use3.sv rename to designs/tests/method/method_var_multiple_use3.sv diff --git a/tests/method/method_var_ref.sv b/designs/tests/method/method_var_ref.sv similarity index 100% rename from tests/method/method_var_ref.sv rename to designs/tests/method/method_var_ref.sv diff --git a/tests/method/method_var_ref_arr_unkwn.sv b/designs/tests/method/method_var_ref_arr_unkwn.sv similarity index 100% rename from tests/method/method_var_ref_arr_unkwn.sv rename to designs/tests/method/method_var_ref_arr_unkwn.sv diff --git a/tests/method/method_var_usedef_same_proc.sv b/designs/tests/method/method_var_usedef_same_proc.sv similarity index 100% rename from tests/method/method_var_usedef_same_proc.sv rename to designs/tests/method/method_var_usedef_same_proc.sv diff --git a/tests/method/method_virtual1.sv b/designs/tests/method/method_virtual1.sv similarity index 100% rename from tests/method/method_virtual1.sv rename to designs/tests/method/method_virtual1.sv diff --git a/tests/method/method_virtual2.sv b/designs/tests/method/method_virtual2.sv similarity index 100% rename from tests/method/method_virtual2.sv rename to designs/tests/method/method_virtual2.sv diff --git a/tests/method/method_virtual3.sv b/designs/tests/method/method_virtual3.sv similarity index 100% rename from tests/method/method_virtual3.sv rename to designs/tests/method/method_virtual3.sv diff --git a/tests/method/method_virtual4.sv b/designs/tests/method/method_virtual4.sv similarity index 100% rename from tests/method/method_virtual4.sv rename to designs/tests/method/method_virtual4.sv diff --git a/tests/method/method_virtual_cast.sv b/designs/tests/method/method_virtual_cast.sv similarity index 100% rename from tests/method/method_virtual_cast.sv rename to designs/tests/method/method_virtual_cast.sv diff --git a/tests/method/method_virtual_field.sv b/designs/tests/method/method_virtual_field.sv similarity index 100% rename from tests/method/method_virtual_field.sv rename to designs/tests/method/method_virtual_field.sv diff --git a/tests/method/method_virtual_pure.sv b/designs/tests/method/method_virtual_pure.sv similarity index 100% rename from tests/method/method_virtual_pure.sv rename to designs/tests/method/method_virtual_pure.sv diff --git a/tests/method/method_vname.sv b/designs/tests/method/method_vname.sv similarity index 100% rename from tests/method/method_vname.sv rename to designs/tests/method/method_vname.sv diff --git a/tests/method/method_while.sv b/designs/tests/method/method_while.sv similarity index 100% rename from tests/method/method_while.sv rename to designs/tests/method/method_while.sv diff --git a/tests/method/method_while_const.sv b/designs/tests/method/method_while_const.sv similarity index 100% rename from tests/method/method_while_const.sv rename to designs/tests/method/method_while_const.sv diff --git a/tests/method/method_while_other.sv b/designs/tests/method/method_while_other.sv similarity index 100% rename from tests/method/method_while_other.sv rename to designs/tests/method/method_while_other.sv diff --git a/tests/method/test_array.cpp b/designs/tests/method/test_array.cpp similarity index 100% rename from tests/method/test_array.cpp rename to designs/tests/method/test_array.cpp diff --git a/tests/method/test_array_in_if.cpp b/designs/tests/method/test_array_in_if.cpp similarity index 100% rename from tests/method/test_array_in_if.cpp rename to designs/tests/method/test_array_in_if.cpp diff --git a/tests/method/test_array_init.cpp b/designs/tests/method/test_array_init.cpp similarity index 100% rename from tests/method/test_array_init.cpp rename to designs/tests/method/test_array_init.cpp diff --git a/tests/method/test_array_param.cpp b/designs/tests/method/test_array_param.cpp similarity index 100% rename from tests/method/test_array_param.cpp rename to designs/tests/method/test_array_param.cpp diff --git a/tests/method/test_array_return.cpp b/designs/tests/method/test_array_return.cpp similarity index 100% rename from tests/method/test_array_return.cpp rename to designs/tests/method/test_array_return.cpp diff --git a/tests/method/test_array_return_fail.cpp b/designs/tests/method/test_array_return_fail.cpp similarity index 100% rename from tests/method/test_array_return_fail.cpp rename to designs/tests/method/test_array_return_fail.cpp diff --git a/tests/method/test_array_unknown.cpp b/designs/tests/method/test_array_unknown.cpp similarity index 100% rename from tests/method/test_array_unknown.cpp rename to designs/tests/method/test_array_unknown.cpp diff --git a/tests/method/test_auto_type.cpp b/designs/tests/method/test_auto_type.cpp similarity index 100% rename from tests/method/test_auto_type.cpp rename to designs/tests/method/test_auto_type.cpp diff --git a/tests/method/test_binary.cpp b/designs/tests/method/test_binary.cpp similarity index 100% rename from tests/method/test_binary.cpp rename to designs/tests/method/test_binary.cpp diff --git a/tests/method/test_binary_expr_signed.cpp b/designs/tests/method/test_binary_expr_signed.cpp similarity index 100% rename from tests/method/test_binary_expr_signed.cpp rename to designs/tests/method/test_binary_expr_signed.cpp diff --git a/tests/method/test_binary_sc_types.cpp b/designs/tests/method/test_binary_sc_types.cpp similarity index 100% rename from tests/method/test_binary_sc_types.cpp rename to designs/tests/method/test_binary_sc_types.cpp diff --git a/tests/method/test_binary_signed.cpp b/designs/tests/method/test_binary_signed.cpp similarity index 100% rename from tests/method/test_binary_signed.cpp rename to designs/tests/method/test_binary_signed.cpp diff --git a/tests/method/test_binary_signed_fail.cpp b/designs/tests/method/test_binary_signed_fail.cpp similarity index 100% rename from tests/method/test_binary_signed_fail.cpp rename to designs/tests/method/test_binary_signed_fail.cpp diff --git a/tests/method/test_bit_range_access.cpp b/designs/tests/method/test_bit_range_access.cpp similarity index 100% rename from tests/method/test_bit_range_access.cpp rename to designs/tests/method/test_bit_range_access.cpp diff --git a/tests/method/test_bit_range_fail.cpp b/designs/tests/method/test_bit_range_fail.cpp similarity index 100% rename from tests/method/test_bit_range_fail.cpp rename to designs/tests/method/test_bit_range_fail.cpp diff --git a/tests/method/test_bitwise_compl.cpp b/designs/tests/method/test_bitwise_compl.cpp similarity index 100% rename from tests/method/test_bitwise_compl.cpp rename to designs/tests/method/test_bitwise_compl.cpp diff --git a/tests/method/test_bitwise_not_bool_fail.cpp b/designs/tests/method/test_bitwise_not_bool_fail.cpp similarity index 100% rename from tests/method/test_bitwise_not_bool_fail.cpp rename to designs/tests/method/test_bitwise_not_bool_fail.cpp diff --git a/tests/method/test_bool.cpp b/designs/tests/method/test_bool.cpp similarity index 100% rename from tests/method/test_bool.cpp rename to designs/tests/method/test_bool.cpp diff --git a/tests/method/test_break.cpp b/designs/tests/method/test_break.cpp similarity index 100% rename from tests/method/test_break.cpp rename to designs/tests/method/test_break.cpp diff --git a/tests/method/test_cast.cpp b/designs/tests/method/test_cast.cpp similarity index 100% rename from tests/method/test_cast.cpp rename to designs/tests/method/test_cast.cpp diff --git a/tests/method/test_child_module.cpp b/designs/tests/method/test_child_module.cpp similarity index 100% rename from tests/method/test_child_module.cpp rename to designs/tests/method/test_child_module.cpp diff --git a/tests/method/test_compound.cpp b/designs/tests/method/test_compound.cpp similarity index 100% rename from tests/method/test_compound.cpp rename to designs/tests/method/test_compound.cpp diff --git a/tests/method/test_concat.cpp b/designs/tests/method/test_concat.cpp similarity index 100% rename from tests/method/test_concat.cpp rename to designs/tests/method/test_concat.cpp diff --git a/tests/method/test_concat_fail.cpp b/designs/tests/method/test_concat_fail.cpp similarity index 100% rename from tests/method/test_concat_fail.cpp rename to designs/tests/method/test_concat_fail.cpp diff --git a/tests/method/test_cond_cast.cpp b/designs/tests/method/test_cond_cast.cpp similarity index 100% rename from tests/method/test_cond_cast.cpp rename to designs/tests/method/test_cond_cast.cpp diff --git a/tests/method/test_cond_oper.cpp b/designs/tests/method/test_cond_oper.cpp similarity index 100% rename from tests/method/test_cond_oper.cpp rename to designs/tests/method/test_cond_oper.cpp diff --git a/tests/method/test_cond_side_effect.cpp b/designs/tests/method/test_cond_side_effect.cpp similarity index 100% rename from tests/method/test_cond_side_effect.cpp rename to designs/tests/method/test_cond_side_effect.cpp diff --git a/tests/method/test_const.cpp b/designs/tests/method/test_const.cpp similarity index 100% rename from tests/method/test_const.cpp rename to designs/tests/method/test_const.cpp diff --git a/tests/method/test_const_array.cpp b/designs/tests/method/test_const_array.cpp similarity index 100% rename from tests/method/test_const_array.cpp rename to designs/tests/method/test_const_array.cpp diff --git a/tests/method/test_const_binary.cpp b/designs/tests/method/test_const_binary.cpp similarity index 100% rename from tests/method/test_const_binary.cpp rename to designs/tests/method/test_const_binary.cpp diff --git a/tests/method/test_const_compl_cond.cpp b/designs/tests/method/test_const_compl_cond.cpp similarity index 100% rename from tests/method/test_const_compl_cond.cpp rename to designs/tests/method/test_const_compl_cond.cpp diff --git a/tests/method/test_const_cond_narrow.cpp b/designs/tests/method/test_const_cond_narrow.cpp similarity index 100% rename from tests/method/test_const_cond_narrow.cpp rename to designs/tests/method/test_const_cond_narrow.cpp diff --git a/tests/method/test_const_fail.cpp b/designs/tests/method/test_const_fail.cpp similarity index 100% rename from tests/method/test_const_fail.cpp rename to designs/tests/method/test_const_fail.cpp diff --git a/tests/method/test_const_if.cpp b/designs/tests/method/test_const_if.cpp similarity index 100% rename from tests/method/test_const_if.cpp rename to designs/tests/method/test_const_if.cpp diff --git a/tests/method/test_const_if2.cpp b/designs/tests/method/test_const_if2.cpp similarity index 100% rename from tests/method/test_const_if2.cpp rename to designs/tests/method/test_const_if2.cpp diff --git a/tests/method/test_const_liter.cpp b/designs/tests/method/test_const_liter.cpp similarity index 100% rename from tests/method/test_const_liter.cpp rename to designs/tests/method/test_const_liter.cpp diff --git a/tests/method/test_const_liter_compound.cpp b/designs/tests/method/test_const_liter_compound.cpp similarity index 100% rename from tests/method/test_const_liter_compound.cpp rename to designs/tests/method/test_const_liter_compound.cpp diff --git a/tests/method/test_const_prop.cpp b/designs/tests/method/test_const_prop.cpp similarity index 100% rename from tests/method/test_const_prop.cpp rename to designs/tests/method/test_const_prop.cpp diff --git a/tests/method/test_const_prop_fail.cpp b/designs/tests/method/test_const_prop_fail.cpp similarity index 100% rename from tests/method/test_const_prop_fail.cpp rename to designs/tests/method/test_const_prop_fail.cpp diff --git a/tests/method/test_const_prop_fail2.cpp b/designs/tests/method/test_const_prop_fail2.cpp similarity index 100% rename from tests/method/test_const_prop_fail2.cpp rename to designs/tests/method/test_const_prop_fail2.cpp diff --git a/tests/method/test_const_prop_loop.cpp b/designs/tests/method/test_const_prop_loop.cpp similarity index 100% rename from tests/method/test_const_prop_loop.cpp rename to designs/tests/method/test_const_prop_loop.cpp diff --git a/tests/method/test_const_prop_range.cpp b/designs/tests/method/test_const_prop_range.cpp similarity index 100% rename from tests/method/test_const_prop_range.cpp rename to designs/tests/method/test_const_prop_range.cpp diff --git a/tests/method/test_const_static_mem.cpp b/designs/tests/method/test_const_static_mem.cpp similarity index 100% rename from tests/method/test_const_static_mem.cpp rename to designs/tests/method/test_const_static_mem.cpp diff --git a/tests/method/test_continue.cpp b/designs/tests/method/test_continue.cpp similarity index 100% rename from tests/method/test_continue.cpp rename to designs/tests/method/test_continue.cpp diff --git a/tests/method/test_decoder.cpp b/designs/tests/method/test_decoder.cpp similarity index 100% rename from tests/method/test_decoder.cpp rename to designs/tests/method/test_decoder.cpp diff --git a/tests/method/test_dowhile.cpp b/designs/tests/method/test_dowhile.cpp similarity index 100% rename from tests/method/test_dowhile.cpp rename to designs/tests/method/test_dowhile.cpp diff --git a/tests/method/test_dowhile_other.cpp b/designs/tests/method/test_dowhile_other.cpp similarity index 100% rename from tests/method/test_dowhile_other.cpp rename to designs/tests/method/test_dowhile_other.cpp diff --git a/tests/method/test_empty_sensvty.cpp b/designs/tests/method/test_empty_sensvty.cpp similarity index 100% rename from tests/method/test_empty_sensvty.cpp rename to designs/tests/method/test_empty_sensvty.cpp diff --git a/tests/method/test_empty_sensvty1_fail.cpp b/designs/tests/method/test_empty_sensvty1_fail.cpp similarity index 100% rename from tests/method/test_empty_sensvty1_fail.cpp rename to designs/tests/method/test_empty_sensvty1_fail.cpp diff --git a/tests/method/test_empty_sensvty2_fail.cpp b/designs/tests/method/test_empty_sensvty2_fail.cpp similarity index 100% rename from tests/method/test_empty_sensvty2_fail.cpp rename to designs/tests/method/test_empty_sensvty2_fail.cpp diff --git a/tests/method/test_empty_sensvty3_fail.cpp b/designs/tests/method/test_empty_sensvty3_fail.cpp similarity index 100% rename from tests/method/test_empty_sensvty3_fail.cpp rename to designs/tests/method/test_empty_sensvty3_fail.cpp diff --git a/tests/method/test_empty_sensvty4_fail.cpp b/designs/tests/method/test_empty_sensvty4_fail.cpp similarity index 100% rename from tests/method/test_empty_sensvty4_fail.cpp rename to designs/tests/method/test_empty_sensvty4_fail.cpp diff --git a/tests/method/test_empty_sensvty_dupl_fail.cpp b/designs/tests/method/test_empty_sensvty_dupl_fail.cpp similarity index 100% rename from tests/method/test_empty_sensvty_dupl_fail.cpp rename to designs/tests/method/test_empty_sensvty_dupl_fail.cpp diff --git a/tests/method/test_enum.cpp b/designs/tests/method/test_enum.cpp similarity index 100% rename from tests/method/test_enum.cpp rename to designs/tests/method/test_enum.cpp diff --git a/tests/method/test_explicit_specialz_templ.cpp b/designs/tests/method/test_explicit_specialz_templ.cpp similarity index 100% rename from tests/method/test_explicit_specialz_templ.cpp rename to designs/tests/method/test_explicit_specialz_templ.cpp diff --git a/tests/method/test_explicit_type_conv.cpp b/designs/tests/method/test_explicit_type_conv.cpp similarity index 100% rename from tests/method/test_explicit_type_conv.cpp rename to designs/tests/method/test_explicit_type_conv.cpp diff --git a/tests/method/test_fcall.cpp b/designs/tests/method/test_fcall.cpp similarity index 100% rename from tests/method/test_fcall.cpp rename to designs/tests/method/test_fcall.cpp diff --git a/tests/method/test_fcall_base.cpp b/designs/tests/method/test_fcall_base.cpp similarity index 100% rename from tests/method/test_fcall_base.cpp rename to designs/tests/method/test_fcall_base.cpp diff --git a/tests/method/test_fcall_const_eval.cpp b/designs/tests/method/test_fcall_const_eval.cpp similarity index 100% rename from tests/method/test_fcall_const_eval.cpp rename to designs/tests/method/test_fcall_const_eval.cpp diff --git a/tests/method/test_fcall_const_eval2.cpp b/designs/tests/method/test_fcall_const_eval2.cpp similarity index 100% rename from tests/method/test_fcall_const_eval2.cpp rename to designs/tests/method/test_fcall_const_eval2.cpp diff --git a/tests/method/test_fcall_ref.cpp b/designs/tests/method/test_fcall_ref.cpp similarity index 100% rename from tests/method/test_fcall_ref.cpp rename to designs/tests/method/test_fcall_ref.cpp diff --git a/tests/method/test_fcall_ref_arr_unkwn.cpp b/designs/tests/method/test_fcall_ref_arr_unkwn.cpp similarity index 100% rename from tests/method/test_fcall_ref_arr_unkwn.cpp rename to designs/tests/method/test_fcall_ref_arr_unkwn.cpp diff --git a/tests/method/test_ff_latch.cpp b/designs/tests/method/test_ff_latch.cpp similarity index 100% rename from tests/method/test_ff_latch.cpp rename to designs/tests/method/test_ff_latch.cpp diff --git a/tests/method/test_for.cpp b/designs/tests/method/test_for.cpp similarity index 100% rename from tests/method/test_for.cpp rename to designs/tests/method/test_for.cpp diff --git a/tests/method/test_for_fcall_fail.cpp b/designs/tests/method/test_for_fcall_fail.cpp similarity index 100% rename from tests/method/test_for_fcall_fail.cpp rename to designs/tests/method/test_for_fcall_fail.cpp diff --git a/tests/method/test_forloop_other_types.cpp b/designs/tests/method/test_forloop_other_types.cpp similarity index 100% rename from tests/method/test_forloop_other_types.cpp rename to designs/tests/method/test_forloop_other_types.cpp diff --git a/tests/method/test_generic_fcall.cpp b/designs/tests/method/test_generic_fcall.cpp similarity index 100% rename from tests/method/test_generic_fcall.cpp rename to designs/tests/method/test_generic_fcall.cpp diff --git a/tests/method/test_if.cpp b/designs/tests/method/test_if.cpp similarity index 100% rename from tests/method/test_if.cpp rename to designs/tests/method/test_if.cpp diff --git a/tests/method/test_latch.cpp b/designs/tests/method/test_latch.cpp similarity index 100% rename from tests/method/test_latch.cpp rename to designs/tests/method/test_latch.cpp diff --git a/tests/method/test_latch_fail.cpp b/designs/tests/method/test_latch_fail.cpp similarity index 100% rename from tests/method/test_latch_fail.cpp rename to designs/tests/method/test_latch_fail.cpp diff --git a/tests/method/test_level1.cpp b/designs/tests/method/test_level1.cpp similarity index 100% rename from tests/method/test_level1.cpp rename to designs/tests/method/test_level1.cpp diff --git a/tests/method/test_level2.cpp b/designs/tests/method/test_level2.cpp similarity index 100% rename from tests/method/test_level2.cpp rename to designs/tests/method/test_level2.cpp diff --git a/tests/method/test_level_error.cpp b/designs/tests/method/test_level_error.cpp similarity index 100% rename from tests/method/test_level_error.cpp rename to designs/tests/method/test_level_error.cpp diff --git a/tests/method/test_loop_cond_fail.cpp b/designs/tests/method/test_loop_cond_fail.cpp similarity index 100% rename from tests/method/test_loop_cond_fail.cpp rename to designs/tests/method/test_loop_cond_fail.cpp diff --git a/tests/method/test_mix_signed_unsigned_types.cpp b/designs/tests/method/test_mix_signed_unsigned_types.cpp similarity index 100% rename from tests/method/test_mix_signed_unsigned_types.cpp rename to designs/tests/method/test_mix_signed_unsigned_types.cpp diff --git a/tests/method/test_non_sensvty_arr_fail.cpp b/designs/tests/method/test_non_sensvty_arr_fail.cpp similarity index 100% rename from tests/method/test_non_sensvty_arr_fail.cpp rename to designs/tests/method/test_non_sensvty_arr_fail.cpp diff --git a/tests/method/test_non_sensvty_fail.cpp b/designs/tests/method/test_non_sensvty_fail.cpp similarity index 100% rename from tests/method/test_non_sensvty_fail.cpp rename to designs/tests/method/test_non_sensvty_fail.cpp diff --git a/tests/method/test_pointers.cpp b/designs/tests/method/test_pointers.cpp similarity index 100% rename from tests/method/test_pointers.cpp rename to designs/tests/method/test_pointers.cpp diff --git a/tests/method/test_port_array_bind.cpp b/designs/tests/method/test_port_array_bind.cpp similarity index 100% rename from tests/method/test_port_array_bind.cpp rename to designs/tests/method/test_port_array_bind.cpp diff --git a/tests/method/test_return.cpp b/designs/tests/method/test_return.cpp similarity index 100% rename from tests/method/test_return.cpp rename to designs/tests/method/test_return.cpp diff --git a/tests/method/test_return_fail.cpp b/designs/tests/method/test_return_fail.cpp similarity index 100% rename from tests/method/test_return_fail.cpp rename to designs/tests/method/test_return_fail.cpp diff --git a/tests/method/test_sc_bv.cpp b/designs/tests/method/test_sc_bv.cpp similarity index 100% rename from tests/method/test_sc_bv.cpp rename to designs/tests/method/test_sc_bv.cpp diff --git a/tests/method/test_sc_int_const_array.cpp b/designs/tests/method/test_sc_int_const_array.cpp similarity index 100% rename from tests/method/test_sc_int_const_array.cpp rename to designs/tests/method/test_sc_int_const_array.cpp diff --git a/tests/method/test_sc_types.cpp b/designs/tests/method/test_sc_types.cpp similarity index 100% rename from tests/method/test_sc_types.cpp rename to designs/tests/method/test_sc_types.cpp diff --git a/tests/method/test_sc_types_fail.cpp b/designs/tests/method/test_sc_types_fail.cpp similarity index 100% rename from tests/method/test_sc_types_fail.cpp rename to designs/tests/method/test_sc_types_fail.cpp diff --git a/tests/method/test_shift_type_ext.cpp b/designs/tests/method/test_shift_type_ext.cpp similarity index 100% rename from tests/method/test_shift_type_ext.cpp rename to designs/tests/method/test_shift_type_ext.cpp diff --git a/tests/method/test_shifts_signed.cpp b/designs/tests/method/test_shifts_signed.cpp similarity index 100% rename from tests/method/test_shifts_signed.cpp rename to designs/tests/method/test_shifts_signed.cpp diff --git a/tests/method/test_sig_array_access.cpp b/designs/tests/method/test_sig_array_access.cpp similarity index 100% rename from tests/method/test_sig_array_access.cpp rename to designs/tests/method/test_sig_array_access.cpp diff --git a/tests/method/test_standard_collection.cpp b/designs/tests/method/test_standard_collection.cpp similarity index 100% rename from tests/method/test_standard_collection.cpp rename to designs/tests/method/test_standard_collection.cpp diff --git a/tests/method/test_std_array.cpp b/designs/tests/method/test_std_array.cpp similarity index 100% rename from tests/method/test_std_array.cpp rename to designs/tests/method/test_std_array.cpp diff --git a/tests/method/test_stdouts_prints.cpp b/designs/tests/method/test_stdouts_prints.cpp similarity index 100% rename from tests/method/test_stdouts_prints.cpp rename to designs/tests/method/test_stdouts_prints.cpp diff --git a/tests/method/test_string_liter.cpp b/designs/tests/method/test_string_liter.cpp similarity index 100% rename from tests/method/test_string_liter.cpp rename to designs/tests/method/test_string_liter.cpp diff --git a/tests/method/test_switch.cpp b/designs/tests/method/test_switch.cpp similarity index 100% rename from tests/method/test_switch.cpp rename to designs/tests/method/test_switch.cpp diff --git a/tests/method/test_switch_after.cpp b/designs/tests/method/test_switch_after.cpp similarity index 100% rename from tests/method/test_switch_after.cpp rename to designs/tests/method/test_switch_after.cpp diff --git a/tests/method/test_switch_const.cpp b/designs/tests/method/test_switch_const.cpp similarity index 100% rename from tests/method/test_switch_const.cpp rename to designs/tests/method/test_switch_const.cpp diff --git a/tests/method/test_switch_empty_case.cpp b/designs/tests/method/test_switch_empty_case.cpp similarity index 100% rename from tests/method/test_switch_empty_case.cpp rename to designs/tests/method/test_switch_empty_case.cpp diff --git a/tests/method/test_switch_empty_fail.cpp b/designs/tests/method/test_switch_empty_fail.cpp similarity index 100% rename from tests/method/test_switch_empty_fail.cpp rename to designs/tests/method/test_switch_empty_fail.cpp diff --git a/tests/method/test_switch_enum.cpp b/designs/tests/method/test_switch_enum.cpp similarity index 100% rename from tests/method/test_switch_enum.cpp rename to designs/tests/method/test_switch_enum.cpp diff --git a/tests/method/test_switch_inside.cpp b/designs/tests/method/test_switch_inside.cpp similarity index 100% rename from tests/method/test_switch_inside.cpp rename to designs/tests/method/test_switch_inside.cpp diff --git a/tests/method/test_trace.cpp b/designs/tests/method/test_trace.cpp similarity index 100% rename from tests/method/test_trace.cpp rename to designs/tests/method/test_trace.cpp diff --git a/tests/method/test_unary.cpp b/designs/tests/method/test_unary.cpp similarity index 100% rename from tests/method/test_unary.cpp rename to designs/tests/method/test_unary.cpp diff --git a/tests/method/test_unary_sc.cpp b/designs/tests/method/test_unary_sc.cpp similarity index 100% rename from tests/method/test_unary_sc.cpp rename to designs/tests/method/test_unary_sc.cpp diff --git a/tests/method/test_unsupport_types_fail.cpp b/designs/tests/method/test_unsupport_types_fail.cpp similarity index 100% rename from tests/method/test_unsupport_types_fail.cpp rename to designs/tests/method/test_unsupport_types_fail.cpp diff --git a/tests/method/test_var.cpp b/designs/tests/method/test_var.cpp similarity index 100% rename from tests/method/test_var.cpp rename to designs/tests/method/test_var.cpp diff --git a/tests/method/test_var_multiple_use.cpp b/designs/tests/method/test_var_multiple_use.cpp similarity index 100% rename from tests/method/test_var_multiple_use.cpp rename to designs/tests/method/test_var_multiple_use.cpp diff --git a/tests/method/test_var_multiple_use2.cpp b/designs/tests/method/test_var_multiple_use2.cpp similarity index 100% rename from tests/method/test_var_multiple_use2.cpp rename to designs/tests/method/test_var_multiple_use2.cpp diff --git a/tests/method/test_var_multiple_use3.cpp b/designs/tests/method/test_var_multiple_use3.cpp similarity index 100% rename from tests/method/test_var_multiple_use3.cpp rename to designs/tests/method/test_var_multiple_use3.cpp diff --git a/tests/method/test_var_ref.cpp b/designs/tests/method/test_var_ref.cpp similarity index 100% rename from tests/method/test_var_ref.cpp rename to designs/tests/method/test_var_ref.cpp diff --git a/tests/method/test_var_ref_arr_unkwn.cpp b/designs/tests/method/test_var_ref_arr_unkwn.cpp similarity index 100% rename from tests/method/test_var_ref_arr_unkwn.cpp rename to designs/tests/method/test_var_ref_arr_unkwn.cpp diff --git a/tests/method/test_var_ref_fail.cpp b/designs/tests/method/test_var_ref_fail.cpp similarity index 100% rename from tests/method/test_var_ref_fail.cpp rename to designs/tests/method/test_var_ref_fail.cpp diff --git a/tests/method/test_var_usedef_same_proc.cpp b/designs/tests/method/test_var_usedef_same_proc.cpp similarity index 100% rename from tests/method/test_var_usedef_same_proc.cpp rename to designs/tests/method/test_var_usedef_same_proc.cpp diff --git a/tests/method/test_virtual1.cpp b/designs/tests/method/test_virtual1.cpp similarity index 100% rename from tests/method/test_virtual1.cpp rename to designs/tests/method/test_virtual1.cpp diff --git a/tests/method/test_virtual2.cpp b/designs/tests/method/test_virtual2.cpp similarity index 100% rename from tests/method/test_virtual2.cpp rename to designs/tests/method/test_virtual2.cpp diff --git a/tests/method/test_virtual3.cpp b/designs/tests/method/test_virtual3.cpp similarity index 100% rename from tests/method/test_virtual3.cpp rename to designs/tests/method/test_virtual3.cpp diff --git a/tests/method/test_virtual4.cpp b/designs/tests/method/test_virtual4.cpp similarity index 100% rename from tests/method/test_virtual4.cpp rename to designs/tests/method/test_virtual4.cpp diff --git a/tests/method/test_virtual_cast.cpp b/designs/tests/method/test_virtual_cast.cpp similarity index 100% rename from tests/method/test_virtual_cast.cpp rename to designs/tests/method/test_virtual_cast.cpp diff --git a/tests/method/test_virtual_field.cpp b/designs/tests/method/test_virtual_field.cpp similarity index 100% rename from tests/method/test_virtual_field.cpp rename to designs/tests/method/test_virtual_field.cpp diff --git a/tests/method/test_virtual_pure.cpp b/designs/tests/method/test_virtual_pure.cpp similarity index 100% rename from tests/method/test_virtual_pure.cpp rename to designs/tests/method/test_virtual_pure.cpp diff --git a/tests/method/test_vname.cpp b/designs/tests/method/test_vname.cpp similarity index 100% rename from tests/method/test_vname.cpp rename to designs/tests/method/test_vname.cpp diff --git a/tests/method/test_while.cpp b/designs/tests/method/test_while.cpp similarity index 100% rename from tests/method/test_while.cpp rename to designs/tests/method/test_while.cpp diff --git a/tests/method/test_while_const.cpp b/designs/tests/method/test_while_const.cpp similarity index 100% rename from tests/method/test_while_const.cpp rename to designs/tests/method/test_while_const.cpp diff --git a/tests/method/test_while_fcall_fail.cpp b/designs/tests/method/test_while_fcall_fail.cpp similarity index 100% rename from tests/method/test_while_fcall_fail.cpp rename to designs/tests/method/test_while_fcall_fail.cpp diff --git a/tests/method/test_while_other.cpp b/designs/tests/method/test_while_other.cpp similarity index 100% rename from tests/method/test_while_other.cpp rename to designs/tests/method/test_while_other.cpp diff --git a/tests/mif/CMakeLists.txt b/designs/tests/mif/CMakeLists.txt similarity index 100% rename from tests/mif/CMakeLists.txt rename to designs/tests/mif/CMakeLists.txt diff --git a/tests/mif/cthread_mif.sv b/designs/tests/mif/cthread_mif.sv similarity index 100% rename from tests/mif/cthread_mif.sv rename to designs/tests/mif/cthread_mif.sv diff --git a/tests/mif/cthread_mif_array_fcall.sv b/designs/tests/mif/cthread_mif_array_fcall.sv similarity index 100% rename from tests/mif/cthread_mif_array_fcall.sv rename to designs/tests/mif/cthread_mif_array_fcall.sv diff --git a/tests/mif/cthread_mif_array_ptr.sv b/designs/tests/mif/cthread_mif_array_ptr.sv similarity index 100% rename from tests/mif/cthread_mif_array_ptr.sv rename to designs/tests/mif/cthread_mif_array_ptr.sv diff --git a/tests/mif/cthread_mif_array_ptr2.sv b/designs/tests/mif/cthread_mif_array_ptr2.sv similarity index 100% rename from tests/mif/cthread_mif_array_ptr2.sv rename to designs/tests/mif/cthread_mif_array_ptr2.sv diff --git a/tests/mif/cthread_mif_array_ptr_unkwn.sv b/designs/tests/mif/cthread_mif_array_ptr_unkwn.sv similarity index 100% rename from tests/mif/cthread_mif_array_ptr_unkwn.sv rename to designs/tests/mif/cthread_mif_array_ptr_unkwn.sv diff --git a/tests/mif/cthread_mif_array_ptr_unkwn2.sv b/designs/tests/mif/cthread_mif_array_ptr_unkwn2.sv similarity index 100% rename from tests/mif/cthread_mif_array_ptr_unkwn2.sv rename to designs/tests/mif/cthread_mif_array_ptr_unkwn2.sv diff --git a/tests/mif/cthread_mif_array_ptr_unkwn3.sv b/designs/tests/mif/cthread_mif_array_ptr_unkwn3.sv similarity index 100% rename from tests/mif/cthread_mif_array_ptr_unkwn3.sv rename to designs/tests/mif/cthread_mif_array_ptr_unkwn3.sv diff --git a/tests/mif/dttc2021.sv b/designs/tests/mif/dttc2021.sv similarity index 100% rename from tests/mif/dttc2021.sv rename to designs/tests/mif/dttc2021.sv diff --git a/tests/mif/mif_array1.sv b/designs/tests/mif/mif_array1.sv similarity index 100% rename from tests/mif/mif_array1.sv rename to designs/tests/mif/mif_array1.sv diff --git a/tests/mif/mif_array2.sv b/designs/tests/mif/mif_array2.sv similarity index 100% rename from tests/mif/mif_array2.sv rename to designs/tests/mif/mif_array2.sv diff --git a/tests/mif/mif_array3.sv b/designs/tests/mif/mif_array3.sv similarity index 100% rename from tests/mif/mif_array3.sv rename to designs/tests/mif/mif_array3.sv diff --git a/tests/mif/mif_array4.sv b/designs/tests/mif/mif_array4.sv similarity index 100% rename from tests/mif/mif_array4.sv rename to designs/tests/mif/mif_array4.sv diff --git a/tests/mif/mif_array_const_unkwn.sv b/designs/tests/mif/mif_array_const_unkwn.sv similarity index 100% rename from tests/mif/mif_array_const_unkwn.sv rename to designs/tests/mif/mif_array_const_unkwn.sv diff --git a/tests/mif/mif_array_differ.sv b/designs/tests/mif/mif_array_differ.sv similarity index 100% rename from tests/mif/mif_array_differ.sv rename to designs/tests/mif/mif_array_differ.sv diff --git a/tests/mif/mif_array_ptr.sv b/designs/tests/mif/mif_array_ptr.sv similarity index 100% rename from tests/mif/mif_array_ptr.sv rename to designs/tests/mif/mif_array_ptr.sv diff --git a/tests/mif/mif_array_ptr2.sv b/designs/tests/mif/mif_array_ptr2.sv similarity index 100% rename from tests/mif/mif_array_ptr2.sv rename to designs/tests/mif/mif_array_ptr2.sv diff --git a/tests/mif/mif_array_record_cthread.sv b/designs/tests/mif/mif_array_record_cthread.sv similarity index 100% rename from tests/mif/mif_array_record_cthread.sv rename to designs/tests/mif/mif_array_record_cthread.sv diff --git a/tests/mif/mif_array_record_meth.sv b/designs/tests/mif/mif_array_record_meth.sv similarity index 100% rename from tests/mif/mif_array_record_meth.sv rename to designs/tests/mif/mif_array_record_meth.sv diff --git a/tests/mif/mif_array_sc_vector.sv b/designs/tests/mif/mif_array_sc_vector.sv similarity index 100% rename from tests/mif/mif_array_sc_vector.sv rename to designs/tests/mif/mif_array_sc_vector.sv diff --git a/tests/mif/mif_array_sens.sv b/designs/tests/mif/mif_array_sens.sv similarity index 100% rename from tests/mif/mif_array_sens.sv rename to designs/tests/mif/mif_array_sens.sv diff --git a/tests/mif/mif_array_unkwn.sv b/designs/tests/mif/mif_array_unkwn.sv similarity index 100% rename from tests/mif/mif_array_unkwn.sv rename to designs/tests/mif/mif_array_unkwn.sv diff --git a/tests/mif/mif_array_with_chan.sv b/designs/tests/mif/mif_array_with_chan.sv similarity index 100% rename from tests/mif/mif_array_with_chan.sv rename to designs/tests/mif/mif_array_with_chan.sv diff --git a/tests/mif/mif_array_with_ports.sv b/designs/tests/mif/mif_array_with_ports.sv similarity index 100% rename from tests/mif/mif_array_with_ports.sv rename to designs/tests/mif/mif_array_with_ports.sv diff --git a/tests/mif/mif_array_with_ptr.sv b/designs/tests/mif/mif_array_with_ptr.sv similarity index 100% rename from tests/mif/mif_array_with_ptr.sv rename to designs/tests/mif/mif_array_with_ptr.sv diff --git a/tests/mif/mif_array_with_ptr2.sv b/designs/tests/mif/mif_array_with_ptr2.sv similarity index 100% rename from tests/mif/mif_array_with_ptr2.sv rename to designs/tests/mif/mif_array_with_ptr2.sv diff --git a/tests/mif/mif_array_with_ptr3.sv b/designs/tests/mif/mif_array_with_ptr3.sv similarity index 100% rename from tests/mif/mif_array_with_ptr3.sv rename to designs/tests/mif/mif_array_with_ptr3.sv diff --git a/tests/mif/mif_array_with_ptr_array.sv b/designs/tests/mif/mif_array_with_ptr_array.sv similarity index 100% rename from tests/mif/mif_array_with_ptr_array.sv rename to designs/tests/mif/mif_array_with_ptr_array.sv diff --git a/tests/mif/mif_const1.sv b/designs/tests/mif/mif_const1.sv similarity index 100% rename from tests/mif/mif_const1.sv rename to designs/tests/mif/mif_const1.sv diff --git a/tests/mif/mif_const2.sv b/designs/tests/mif/mif_const2.sv similarity index 100% rename from tests/mif/mif_const2.sv rename to designs/tests/mif/mif_const2.sv diff --git a/tests/mif/mif_glob_const.sv b/designs/tests/mif/mif_glob_const.sv similarity index 100% rename from tests/mif/mif_glob_const.sv rename to designs/tests/mif/mif_glob_const.sv diff --git a/tests/mif/mif_inherit1.sv b/designs/tests/mif/mif_inherit1.sv similarity index 100% rename from tests/mif/mif_inherit1.sv rename to designs/tests/mif/mif_inherit1.sv diff --git a/tests/mif/mif_name_conflict1.sv b/designs/tests/mif/mif_name_conflict1.sv similarity index 100% rename from tests/mif/mif_name_conflict1.sv rename to designs/tests/mif/mif_name_conflict1.sv diff --git a/tests/mif/mif_name_conflict2.sv b/designs/tests/mif/mif_name_conflict2.sv similarity index 100% rename from tests/mif/mif_name_conflict2.sv rename to designs/tests/mif/mif_name_conflict2.sv diff --git a/tests/mif/mif_name_conflict3.sv b/designs/tests/mif/mif_name_conflict3.sv similarity index 100% rename from tests/mif/mif_name_conflict3.sv rename to designs/tests/mif/mif_name_conflict3.sv diff --git a/tests/mif/mif_record_cthread.sv b/designs/tests/mif/mif_record_cthread.sv similarity index 100% rename from tests/mif/mif_record_cthread.sv rename to designs/tests/mif/mif_record_cthread.sv diff --git a/tests/mif/mif_record_meth.sv b/designs/tests/mif/mif_record_meth.sv similarity index 100% rename from tests/mif/mif_record_meth.sv rename to designs/tests/mif/mif_record_meth.sv diff --git a/tests/mif/mif_sc_vector.sv b/designs/tests/mif/mif_sc_vector.sv similarity index 100% rename from tests/mif/mif_sc_vector.sv rename to designs/tests/mif/mif_sc_vector.sv diff --git a/tests/mif/mif_sc_vector_mif1.sv b/designs/tests/mif/mif_sc_vector_mif1.sv similarity index 100% rename from tests/mif/mif_sc_vector_mif1.sv rename to designs/tests/mif/mif_sc_vector_mif1.sv diff --git a/tests/mif/mif_sc_vector_mif2.sv b/designs/tests/mif/mif_sc_vector_mif2.sv similarity index 100% rename from tests/mif/mif_sc_vector_mif2.sv rename to designs/tests/mif/mif_sc_vector_mif2.sv diff --git a/tests/mif/mif_sc_vector_mif3.sv b/designs/tests/mif/mif_sc_vector_mif3.sv similarity index 100% rename from tests/mif/mif_sc_vector_mif3.sv rename to designs/tests/mif/mif_sc_vector_mif3.sv diff --git a/tests/mif/mif_sc_vector_mif4.sv b/designs/tests/mif/mif_sc_vector_mif4.sv similarity index 100% rename from tests/mif/mif_sc_vector_mif4.sv rename to designs/tests/mif/mif_sc_vector_mif4.sv diff --git a/tests/mif/mif_sc_vector_mif5.sv b/designs/tests/mif/mif_sc_vector_mif5.sv similarity index 100% rename from tests/mif/mif_sc_vector_mif5.sv rename to designs/tests/mif/mif_sc_vector_mif5.sv diff --git a/tests/mif/mif_sc_vector_mif6.sv b/designs/tests/mif/mif_sc_vector_mif6.sv similarity index 100% rename from tests/mif/mif_sc_vector_mif6.sv rename to designs/tests/mif/mif_sc_vector_mif6.sv diff --git a/tests/mif/mif_sc_vector_ptr.sv b/designs/tests/mif/mif_sc_vector_ptr.sv similarity index 100% rename from tests/mif/mif_sc_vector_ptr.sv rename to designs/tests/mif/mif_sc_vector_ptr.sv diff --git a/tests/mif/mif_simple.sv b/designs/tests/mif/mif_simple.sv similarity index 100% rename from tests/mif/mif_simple.sv rename to designs/tests/mif/mif_simple.sv diff --git a/tests/mif/mif_simple1.sv b/designs/tests/mif/mif_simple1.sv similarity index 100% rename from tests/mif/mif_simple1.sv rename to designs/tests/mif/mif_simple1.sv diff --git a/tests/mif/mif_simple2.sv b/designs/tests/mif/mif_simple2.sv similarity index 100% rename from tests/mif/mif_simple2.sv rename to designs/tests/mif/mif_simple2.sv diff --git a/tests/mif/mif_simple3.sv b/designs/tests/mif/mif_simple3.sv similarity index 100% rename from tests/mif/mif_simple3.sv rename to designs/tests/mif/mif_simple3.sv diff --git a/tests/mif/mif_simple4.sv b/designs/tests/mif/mif_simple4.sv similarity index 100% rename from tests/mif/mif_simple4.sv rename to designs/tests/mif/mif_simple4.sv diff --git a/tests/mif/mif_simple5.sv b/designs/tests/mif/mif_simple5.sv similarity index 100% rename from tests/mif/mif_simple5.sv rename to designs/tests/mif/mif_simple5.sv diff --git a/tests/mif/mif_var_in_reset.sv b/designs/tests/mif/mif_var_in_reset.sv similarity index 100% rename from tests/mif/mif_var_in_reset.sv rename to designs/tests/mif/mif_var_in_reset.sv diff --git a/tests/mif/mif_var_multiple_use.sv b/designs/tests/mif/mif_var_multiple_use.sv similarity index 100% rename from tests/mif/mif_var_multiple_use.sv rename to designs/tests/mif/mif_var_multiple_use.sv diff --git a/tests/mif/mif_with_chan_array.sv b/designs/tests/mif/mif_with_chan_array.sv similarity index 100% rename from tests/mif/mif_with_chan_array.sv rename to designs/tests/mif/mif_with_chan_array.sv diff --git a/tests/mif/test_access_violation1.cpp b/designs/tests/mif/test_access_violation1.cpp similarity index 100% rename from tests/mif/test_access_violation1.cpp rename to designs/tests/mif/test_access_violation1.cpp diff --git a/tests/mif/test_array_heterogenous.cpp b/designs/tests/mif/test_array_heterogenous.cpp similarity index 100% rename from tests/mif/test_array_heterogenous.cpp rename to designs/tests/mif/test_array_heterogenous.cpp diff --git a/tests/mif/test_array_record_cthread.cpp b/designs/tests/mif/test_array_record_cthread.cpp similarity index 100% rename from tests/mif/test_array_record_cthread.cpp rename to designs/tests/mif/test_array_record_cthread.cpp diff --git a/tests/mif/test_array_record_meth.cpp b/designs/tests/mif/test_array_record_meth.cpp similarity index 100% rename from tests/mif/test_array_record_meth.cpp rename to designs/tests/mif/test_array_record_meth.cpp diff --git a/tests/mif/test_array_sc_vector.cpp b/designs/tests/mif/test_array_sc_vector.cpp similarity index 100% rename from tests/mif/test_array_sc_vector.cpp rename to designs/tests/mif/test_array_sc_vector.cpp diff --git a/tests/mif/test_cthread_mif.cpp b/designs/tests/mif/test_cthread_mif.cpp similarity index 100% rename from tests/mif/test_cthread_mif.cpp rename to designs/tests/mif/test_cthread_mif.cpp diff --git a/tests/mif/test_cthread_mif_array_fcall.cpp b/designs/tests/mif/test_cthread_mif_array_fcall.cpp similarity index 100% rename from tests/mif/test_cthread_mif_array_fcall.cpp rename to designs/tests/mif/test_cthread_mif_array_fcall.cpp diff --git a/tests/mif/test_cthread_mif_array_ptr.cpp b/designs/tests/mif/test_cthread_mif_array_ptr.cpp similarity index 100% rename from tests/mif/test_cthread_mif_array_ptr.cpp rename to designs/tests/mif/test_cthread_mif_array_ptr.cpp diff --git a/tests/mif/test_cthread_mif_array_ptr2.cpp b/designs/tests/mif/test_cthread_mif_array_ptr2.cpp similarity index 100% rename from tests/mif/test_cthread_mif_array_ptr2.cpp rename to designs/tests/mif/test_cthread_mif_array_ptr2.cpp diff --git a/tests/mif/test_cthread_mif_array_ptr_unkwn.cpp b/designs/tests/mif/test_cthread_mif_array_ptr_unkwn.cpp similarity index 100% rename from tests/mif/test_cthread_mif_array_ptr_unkwn.cpp rename to designs/tests/mif/test_cthread_mif_array_ptr_unkwn.cpp diff --git a/tests/mif/test_cthread_mif_array_ptr_unkwn2.cpp b/designs/tests/mif/test_cthread_mif_array_ptr_unkwn2.cpp similarity index 100% rename from tests/mif/test_cthread_mif_array_ptr_unkwn2.cpp rename to designs/tests/mif/test_cthread_mif_array_ptr_unkwn2.cpp diff --git a/tests/mif/test_cthread_mif_array_ptr_unkwn3.cpp b/designs/tests/mif/test_cthread_mif_array_ptr_unkwn3.cpp similarity index 100% rename from tests/mif/test_cthread_mif_array_ptr_unkwn3.cpp rename to designs/tests/mif/test_cthread_mif_array_ptr_unkwn3.cpp diff --git a/tests/mif/test_dttc2021.cpp b/designs/tests/mif/test_dttc2021.cpp similarity index 100% rename from tests/mif/test_dttc2021.cpp rename to designs/tests/mif/test_dttc2021.cpp diff --git a/tests/mif/test_glob_const.cpp b/designs/tests/mif/test_glob_const.cpp similarity index 100% rename from tests/mif/test_glob_const.cpp rename to designs/tests/mif/test_glob_const.cpp diff --git a/tests/mif/test_mif_array1.cpp b/designs/tests/mif/test_mif_array1.cpp similarity index 100% rename from tests/mif/test_mif_array1.cpp rename to designs/tests/mif/test_mif_array1.cpp diff --git a/tests/mif/test_mif_array2.cpp b/designs/tests/mif/test_mif_array2.cpp similarity index 100% rename from tests/mif/test_mif_array2.cpp rename to designs/tests/mif/test_mif_array2.cpp diff --git a/tests/mif/test_mif_array3.cpp b/designs/tests/mif/test_mif_array3.cpp similarity index 100% rename from tests/mif/test_mif_array3.cpp rename to designs/tests/mif/test_mif_array3.cpp diff --git a/tests/mif/test_mif_array4.cpp b/designs/tests/mif/test_mif_array4.cpp similarity index 100% rename from tests/mif/test_mif_array4.cpp rename to designs/tests/mif/test_mif_array4.cpp diff --git a/tests/mif/test_mif_array_const_unkwn.cpp b/designs/tests/mif/test_mif_array_const_unkwn.cpp similarity index 100% rename from tests/mif/test_mif_array_const_unkwn.cpp rename to designs/tests/mif/test_mif_array_const_unkwn.cpp diff --git a/tests/mif/test_mif_array_differ.cpp b/designs/tests/mif/test_mif_array_differ.cpp similarity index 100% rename from tests/mif/test_mif_array_differ.cpp rename to designs/tests/mif/test_mif_array_differ.cpp diff --git a/tests/mif/test_mif_array_ptr.cpp b/designs/tests/mif/test_mif_array_ptr.cpp similarity index 100% rename from tests/mif/test_mif_array_ptr.cpp rename to designs/tests/mif/test_mif_array_ptr.cpp diff --git a/tests/mif/test_mif_array_ptr2.cpp b/designs/tests/mif/test_mif_array_ptr2.cpp similarity index 100% rename from tests/mif/test_mif_array_ptr2.cpp rename to designs/tests/mif/test_mif_array_ptr2.cpp diff --git a/tests/mif/test_mif_array_sens.cpp b/designs/tests/mif/test_mif_array_sens.cpp similarity index 100% rename from tests/mif/test_mif_array_sens.cpp rename to designs/tests/mif/test_mif_array_sens.cpp diff --git a/tests/mif/test_mif_array_unkwn.cpp b/designs/tests/mif/test_mif_array_unkwn.cpp similarity index 100% rename from tests/mif/test_mif_array_unkwn.cpp rename to designs/tests/mif/test_mif_array_unkwn.cpp diff --git a/tests/mif/test_mif_array_with_chan.cpp b/designs/tests/mif/test_mif_array_with_chan.cpp similarity index 100% rename from tests/mif/test_mif_array_with_chan.cpp rename to designs/tests/mif/test_mif_array_with_chan.cpp diff --git a/tests/mif/test_mif_array_with_ports.cpp b/designs/tests/mif/test_mif_array_with_ports.cpp similarity index 100% rename from tests/mif/test_mif_array_with_ports.cpp rename to designs/tests/mif/test_mif_array_with_ports.cpp diff --git a/tests/mif/test_mif_array_with_ptr.cpp b/designs/tests/mif/test_mif_array_with_ptr.cpp similarity index 100% rename from tests/mif/test_mif_array_with_ptr.cpp rename to designs/tests/mif/test_mif_array_with_ptr.cpp diff --git a/tests/mif/test_mif_array_with_ptr2.cpp b/designs/tests/mif/test_mif_array_with_ptr2.cpp similarity index 100% rename from tests/mif/test_mif_array_with_ptr2.cpp rename to designs/tests/mif/test_mif_array_with_ptr2.cpp diff --git a/tests/mif/test_mif_array_with_ptr3.cpp b/designs/tests/mif/test_mif_array_with_ptr3.cpp similarity index 100% rename from tests/mif/test_mif_array_with_ptr3.cpp rename to designs/tests/mif/test_mif_array_with_ptr3.cpp diff --git a/tests/mif/test_mif_array_with_ptr_array.cpp b/designs/tests/mif/test_mif_array_with_ptr_array.cpp similarity index 100% rename from tests/mif/test_mif_array_with_ptr_array.cpp rename to designs/tests/mif/test_mif_array_with_ptr_array.cpp diff --git a/tests/mif/test_mif_const1.cpp b/designs/tests/mif/test_mif_const1.cpp similarity index 100% rename from tests/mif/test_mif_const1.cpp rename to designs/tests/mif/test_mif_const1.cpp diff --git a/tests/mif/test_mif_const2.cpp b/designs/tests/mif/test_mif_const2.cpp similarity index 100% rename from tests/mif/test_mif_const2.cpp rename to designs/tests/mif/test_mif_const2.cpp diff --git a/tests/mif/test_mif_inherit1.cpp b/designs/tests/mif/test_mif_inherit1.cpp similarity index 100% rename from tests/mif/test_mif_inherit1.cpp rename to designs/tests/mif/test_mif_inherit1.cpp diff --git a/tests/mif/test_mif_simple1.cpp b/designs/tests/mif/test_mif_simple1.cpp similarity index 100% rename from tests/mif/test_mif_simple1.cpp rename to designs/tests/mif/test_mif_simple1.cpp diff --git a/tests/mif/test_mif_simple2.cpp b/designs/tests/mif/test_mif_simple2.cpp similarity index 100% rename from tests/mif/test_mif_simple2.cpp rename to designs/tests/mif/test_mif_simple2.cpp diff --git a/tests/mif/test_mif_simple3.cpp b/designs/tests/mif/test_mif_simple3.cpp similarity index 100% rename from tests/mif/test_mif_simple3.cpp rename to designs/tests/mif/test_mif_simple3.cpp diff --git a/tests/mif/test_mif_simple4.cpp b/designs/tests/mif/test_mif_simple4.cpp similarity index 100% rename from tests/mif/test_mif_simple4.cpp rename to designs/tests/mif/test_mif_simple4.cpp diff --git a/tests/mif/test_mif_simple5.cpp b/designs/tests/mif/test_mif_simple5.cpp similarity index 100% rename from tests/mif/test_mif_simple5.cpp rename to designs/tests/mif/test_mif_simple5.cpp diff --git a/tests/mif/test_mif_var_multiple_use.cpp b/designs/tests/mif/test_mif_var_multiple_use.cpp similarity index 100% rename from tests/mif/test_mif_var_multiple_use.cpp rename to designs/tests/mif/test_mif_var_multiple_use.cpp diff --git a/tests/mif/test_mif_with_chan_array.cpp b/designs/tests/mif/test_mif_with_chan_array.cpp similarity index 100% rename from tests/mif/test_mif_with_chan_array.cpp rename to designs/tests/mif/test_mif_with_chan_array.cpp diff --git a/tests/mif/test_name_conflict1.cpp b/designs/tests/mif/test_name_conflict1.cpp similarity index 100% rename from tests/mif/test_name_conflict1.cpp rename to designs/tests/mif/test_name_conflict1.cpp diff --git a/tests/mif/test_name_conflict2.cpp b/designs/tests/mif/test_name_conflict2.cpp similarity index 100% rename from tests/mif/test_name_conflict2.cpp rename to designs/tests/mif/test_name_conflict2.cpp diff --git a/tests/mif/test_name_conflict3.cpp b/designs/tests/mif/test_name_conflict3.cpp similarity index 100% rename from tests/mif/test_name_conflict3.cpp rename to designs/tests/mif/test_name_conflict3.cpp diff --git a/tests/mif/test_record_cthread.cpp b/designs/tests/mif/test_record_cthread.cpp similarity index 100% rename from tests/mif/test_record_cthread.cpp rename to designs/tests/mif/test_record_cthread.cpp diff --git a/tests/mif/test_record_meth.cpp b/designs/tests/mif/test_record_meth.cpp similarity index 100% rename from tests/mif/test_record_meth.cpp rename to designs/tests/mif/test_record_meth.cpp diff --git a/tests/mif/test_sc_vector.cpp b/designs/tests/mif/test_sc_vector.cpp similarity index 100% rename from tests/mif/test_sc_vector.cpp rename to designs/tests/mif/test_sc_vector.cpp diff --git a/tests/mif/test_sc_vector_mif1.cpp b/designs/tests/mif/test_sc_vector_mif1.cpp similarity index 100% rename from tests/mif/test_sc_vector_mif1.cpp rename to designs/tests/mif/test_sc_vector_mif1.cpp diff --git a/tests/mif/test_sc_vector_mif2.cpp b/designs/tests/mif/test_sc_vector_mif2.cpp similarity index 100% rename from tests/mif/test_sc_vector_mif2.cpp rename to designs/tests/mif/test_sc_vector_mif2.cpp diff --git a/tests/mif/test_sc_vector_mif3.cpp b/designs/tests/mif/test_sc_vector_mif3.cpp similarity index 100% rename from tests/mif/test_sc_vector_mif3.cpp rename to designs/tests/mif/test_sc_vector_mif3.cpp diff --git a/tests/mif/test_sc_vector_mif4.cpp b/designs/tests/mif/test_sc_vector_mif4.cpp similarity index 100% rename from tests/mif/test_sc_vector_mif4.cpp rename to designs/tests/mif/test_sc_vector_mif4.cpp diff --git a/tests/mif/test_sc_vector_mif5.cpp b/designs/tests/mif/test_sc_vector_mif5.cpp similarity index 100% rename from tests/mif/test_sc_vector_mif5.cpp rename to designs/tests/mif/test_sc_vector_mif5.cpp diff --git a/tests/mif/test_sc_vector_mif6.cpp b/designs/tests/mif/test_sc_vector_mif6.cpp similarity index 100% rename from tests/mif/test_sc_vector_mif6.cpp rename to designs/tests/mif/test_sc_vector_mif6.cpp diff --git a/tests/mif/test_sc_vector_ptr.cpp b/designs/tests/mif/test_sc_vector_ptr.cpp similarity index 100% rename from tests/mif/test_sc_vector_ptr.cpp rename to designs/tests/mif/test_sc_vector_ptr.cpp diff --git a/tests/mif/test_var_in_reset.cpp b/designs/tests/mif/test_var_in_reset.cpp similarity index 100% rename from tests/mif/test_var_in_reset.cpp rename to designs/tests/mif/test_var_in_reset.cpp diff --git a/tests/misc/CMakeLists.txt b/designs/tests/misc/CMakeLists.txt similarity index 100% rename from tests/misc/CMakeLists.txt rename to designs/tests/misc/CMakeLists.txt diff --git a/tests/misc/misc_array_in_top.sv b/designs/tests/misc/misc_array_in_top.sv similarity index 100% rename from tests/misc/misc_array_in_top.sv rename to designs/tests/misc/misc_array_in_top.sv diff --git a/tests/misc/misc_array_module.sv b/designs/tests/misc/misc_array_module.sv similarity index 100% rename from tests/misc/misc_array_module.sv rename to designs/tests/misc/misc_array_module.sv diff --git a/tests/misc/misc_array_ptr_noninit.sv b/designs/tests/misc/misc_array_ptr_noninit.sv similarity index 100% rename from tests/misc/misc_array_ptr_noninit.sv rename to designs/tests/misc/misc_array_ptr_noninit.sv diff --git a/tests/misc/misc_array_to_pointer.sv b/designs/tests/misc/misc_array_to_pointer.sv similarity index 100% rename from tests/misc/misc_array_to_pointer.sv rename to designs/tests/misc/misc_array_to_pointer.sv diff --git a/tests/misc/misc_comb_signal.sv b/designs/tests/misc/misc_comb_signal.sv similarity index 100% rename from tests/misc/misc_comb_signal.sv rename to designs/tests/misc/misc_comb_signal.sv diff --git a/tests/misc/misc_comb_signal_clear.sv b/designs/tests/misc/misc_comb_signal_clear.sv similarity index 100% rename from tests/misc/misc_comb_signal_clear.sv rename to designs/tests/misc/misc_comb_signal_clear.sv diff --git a/tests/misc/misc_const_array.sv b/designs/tests/misc/misc_const_array.sv similarity index 100% rename from tests/misc/misc_const_array.sv rename to designs/tests/misc/misc_const_array.sv diff --git a/tests/misc/misc_const_enum_namespace.sv b/designs/tests/misc/misc_const_enum_namespace.sv similarity index 100% rename from tests/misc/misc_const_enum_namespace.sv rename to designs/tests/misc/misc_const_enum_namespace.sv diff --git a/tests/misc/misc_const_global.sv b/designs/tests/misc/misc_const_global.sv similarity index 100% rename from tests/misc/misc_const_global.sv rename to designs/tests/misc/misc_const_global.sv diff --git a/tests/misc/misc_const_init.sv b/designs/tests/misc/misc_const_init.sv similarity index 100% rename from tests/misc/misc_const_init.sv rename to designs/tests/misc/misc_const_init.sv diff --git a/tests/misc/misc_const_init_fcall.sv b/designs/tests/misc/misc_const_init_fcall.sv similarity index 100% rename from tests/misc/misc_const_init_fcall.sv rename to designs/tests/misc/misc_const_init_fcall.sv diff --git a/tests/misc/misc_const_keep_var1.sv b/designs/tests/misc/misc_const_keep_var1.sv similarity index 100% rename from tests/misc/misc_const_keep_var1.sv rename to designs/tests/misc/misc_const_keep_var1.sv diff --git a/tests/misc/misc_const_keep_var2.sv b/designs/tests/misc/misc_const_keep_var2.sv similarity index 100% rename from tests/misc/misc_const_keep_var2.sv rename to designs/tests/misc/misc_const_keep_var2.sv diff --git a/tests/misc/misc_const_keep_var3.sv b/designs/tests/misc/misc_const_keep_var3.sv similarity index 100% rename from tests/misc/misc_const_keep_var3.sv rename to designs/tests/misc/misc_const_keep_var3.sv diff --git a/tests/misc/misc_const_large.sv b/designs/tests/misc/misc_const_large.sv similarity index 100% rename from tests/misc/misc_const_large.sv rename to designs/tests/misc/misc_const_large.sv diff --git a/tests/misc/misc_const_pointer.sv b/designs/tests/misc/misc_const_pointer.sv similarity index 100% rename from tests/misc/misc_const_pointer.sv rename to designs/tests/misc/misc_const_pointer.sv diff --git a/tests/misc/misc_const_radix.sv b/designs/tests/misc/misc_const_radix.sv similarity index 100% rename from tests/misc/misc_const_radix.sv rename to designs/tests/misc/misc_const_radix.sv diff --git a/tests/misc/misc_const_remove.sv b/designs/tests/misc/misc_const_remove.sv similarity index 100% rename from tests/misc/misc_const_remove.sv rename to designs/tests/misc/misc_const_remove.sv diff --git a/tests/misc/misc_constexpr.sv b/designs/tests/misc/misc_constexpr.sv similarity index 100% rename from tests/misc/misc_constexpr.sv rename to designs/tests/misc/misc_constexpr.sv diff --git a/tests/misc/misc_cross_hierarchy_bind1.sv b/designs/tests/misc/misc_cross_hierarchy_bind1.sv similarity index 100% rename from tests/misc/misc_cross_hierarchy_bind1.sv rename to designs/tests/misc/misc_cross_hierarchy_bind1.sv diff --git a/tests/misc/misc_cross_hierarchy_bind2.sv b/designs/tests/misc/misc_cross_hierarchy_bind2.sv similarity index 100% rename from tests/misc/misc_cross_hierarchy_bind2.sv rename to designs/tests/misc/misc_cross_hierarchy_bind2.sv diff --git a/tests/misc/misc_cross_hierarchy_bind3.sv b/designs/tests/misc/misc_cross_hierarchy_bind3.sv similarity index 100% rename from tests/misc/misc_cross_hierarchy_bind3.sv rename to designs/tests/misc/misc_cross_hierarchy_bind3.sv diff --git a/tests/misc/misc_dynamic_array.sv b/designs/tests/misc/misc_dynamic_array.sv similarity index 100% rename from tests/misc/misc_dynamic_array.sv rename to designs/tests/misc/misc_dynamic_array.sv diff --git a/tests/misc/misc_dynamic_ports.sv b/designs/tests/misc/misc_dynamic_ports.sv similarity index 100% rename from tests/misc/misc_dynamic_ports.sv rename to designs/tests/misc/misc_dynamic_ports.sv diff --git a/tests/misc/misc_empty_process.sv b/designs/tests/misc/misc_empty_process.sv similarity index 100% rename from tests/misc/misc_empty_process.sv rename to designs/tests/misc/misc_empty_process.sv diff --git a/tests/misc/misc_fcall_array.sv b/designs/tests/misc/misc_fcall_array.sv similarity index 100% rename from tests/misc/misc_fcall_array.sv rename to designs/tests/misc/misc_fcall_array.sv diff --git a/tests/misc/misc_fcall_array_unknown.sv b/designs/tests/misc/misc_fcall_array_unknown.sv similarity index 100% rename from tests/misc/misc_fcall_array_unknown.sv rename to designs/tests/misc/misc_fcall_array_unknown.sv diff --git a/tests/misc/misc_fcall_empty.sv b/designs/tests/misc/misc_fcall_empty.sv similarity index 100% rename from tests/misc/misc_fcall_empty.sv rename to designs/tests/misc/misc_fcall_empty.sv diff --git a/tests/misc/misc_fcall_in_loop_cond.sv b/designs/tests/misc/misc_fcall_in_loop_cond.sv similarity index 100% rename from tests/misc/misc_fcall_in_loop_cond.sv rename to designs/tests/misc/misc_fcall_in_loop_cond.sv diff --git a/tests/misc/misc_fcall_loop.sv b/designs/tests/misc/misc_fcall_loop.sv similarity index 100% rename from tests/misc/misc_fcall_loop.sv rename to designs/tests/misc/misc_fcall_loop.sv diff --git a/tests/misc/misc_fcall_multi_state.sv b/designs/tests/misc/misc_fcall_multi_state.sv similarity index 100% rename from tests/misc/misc_fcall_multi_state.sv rename to designs/tests/misc/misc_fcall_multi_state.sv diff --git a/tests/misc/misc_fcall_params.sv b/designs/tests/misc/misc_fcall_params.sv similarity index 100% rename from tests/misc/misc_fcall_params.sv rename to designs/tests/misc/misc_fcall_params.sv diff --git a/tests/misc/misc_init_local_var1.sv b/designs/tests/misc/misc_init_local_var1.sv similarity index 100% rename from tests/misc/misc_init_local_var1.sv rename to designs/tests/misc/misc_init_local_var1.sv diff --git a/tests/misc/misc_init_local_var2.sv b/designs/tests/misc/misc_init_local_var2.sv similarity index 100% rename from tests/misc/misc_init_local_var2.sv rename to designs/tests/misc/misc_init_local_var2.sv diff --git a/tests/misc/misc_init_local_var2_comb.sv b/designs/tests/misc/misc_init_local_var2_comb.sv similarity index 100% rename from tests/misc/misc_init_local_var2_comb.sv rename to designs/tests/misc/misc_init_local_var2_comb.sv diff --git a/tests/misc/misc_init_reset_local_var.sv b/designs/tests/misc/misc_init_reset_local_var.sv similarity index 100% rename from tests/misc/misc_init_reset_local_var.sv rename to designs/tests/misc/misc_init_reset_local_var.sv diff --git a/tests/misc/misc_init_reset_local_var_comb.sv b/designs/tests/misc/misc_init_reset_local_var_comb.sv similarity index 100% rename from tests/misc/misc_init_reset_local_var_comb.sv rename to designs/tests/misc/misc_init_reset_local_var_comb.sv diff --git a/tests/misc/misc_keep_template_param.sv b/designs/tests/misc/misc_keep_template_param.sv similarity index 100% rename from tests/misc/misc_keep_template_param.sv rename to designs/tests/misc/misc_keep_template_param.sv diff --git a/tests/misc/misc_large_array.sv b/designs/tests/misc/misc_large_array.sv similarity index 100% rename from tests/misc/misc_large_array.sv rename to designs/tests/misc/misc_large_array.sv diff --git a/tests/misc/misc_localparam.sv b/designs/tests/misc/misc_localparam.sv similarity index 100% rename from tests/misc/misc_localparam.sv rename to designs/tests/misc/misc_localparam.sv diff --git a/tests/misc/misc_mif_array_differ.sv b/designs/tests/misc/misc_mif_array_differ.sv similarity index 100% rename from tests/misc/misc_mif_array_differ.sv rename to designs/tests/misc/misc_mif_array_differ.sv diff --git a/tests/misc/misc_mod_array_differ.sv b/designs/tests/misc/misc_mod_array_differ.sv similarity index 100% rename from tests/misc/misc_mod_array_differ.sv rename to designs/tests/misc/misc_mod_array_differ.sv diff --git a/tests/misc/misc_module_base_array.sv b/designs/tests/misc/misc_module_base_array.sv similarity index 100% rename from tests/misc/misc_module_base_array.sv rename to designs/tests/misc/misc_module_base_array.sv diff --git a/tests/misc/misc_module_binds_array.sv b/designs/tests/misc/misc_module_binds_array.sv similarity index 100% rename from tests/misc/misc_module_binds_array.sv rename to designs/tests/misc/misc_module_binds_array.sv diff --git a/tests/misc/misc_module_binds_double.sv b/designs/tests/misc/misc_module_binds_double.sv similarity index 100% rename from tests/misc/misc_module_binds_double.sv rename to designs/tests/misc/misc_module_binds_double.sv diff --git a/tests/misc/misc_module_binds_simple.sv b/designs/tests/misc/misc_module_binds_simple.sv similarity index 100% rename from tests/misc/misc_module_binds_simple.sv rename to designs/tests/misc/misc_module_binds_simple.sv diff --git a/tests/misc/misc_module_port_array.sv b/designs/tests/misc/misc_module_port_array.sv similarity index 100% rename from tests/misc/misc_module_port_array.sv rename to designs/tests/misc/misc_module_port_array.sv diff --git a/tests/misc/misc_module_port_sig.sv b/designs/tests/misc/misc_module_port_sig.sv similarity index 100% rename from tests/misc/misc_module_port_sig.sv rename to designs/tests/misc/misc_module_port_sig.sv diff --git a/tests/misc/misc_module_prefix.sv b/designs/tests/misc/misc_module_prefix.sv similarity index 100% rename from tests/misc/misc_module_prefix.sv rename to designs/tests/misc/misc_module_prefix.sv diff --git a/tests/misc/misc_module_sections.sv b/designs/tests/misc/misc_module_sections.sv similarity index 100% rename from tests/misc/misc_module_sections.sv rename to designs/tests/misc/misc_module_sections.sv diff --git a/tests/misc/misc_module_typedef.sv b/designs/tests/misc/misc_module_typedef.sv similarity index 100% rename from tests/misc/misc_module_typedef.sv rename to designs/tests/misc/misc_module_typedef.sv diff --git a/tests/misc/misc_multi_bind1.sv b/designs/tests/misc/misc_multi_bind1.sv similarity index 100% rename from tests/misc/misc_multi_bind1.sv rename to designs/tests/misc/misc_multi_bind1.sv diff --git a/tests/misc/misc_multi_bind2.sv b/designs/tests/misc/misc_multi_bind2.sv similarity index 100% rename from tests/misc/misc_multi_bind2.sv rename to designs/tests/misc/misc_multi_bind2.sv diff --git a/tests/misc/misc_multiple_pointers_mod.sv b/designs/tests/misc/misc_multiple_pointers_mod.sv similarity index 100% rename from tests/misc/misc_multiple_pointers_mod.sv rename to designs/tests/misc/misc_multiple_pointers_mod.sv diff --git a/tests/misc/misc_multiple_pointers_var.sv b/designs/tests/misc/misc_multiple_pointers_var.sv similarity index 100% rename from tests/misc/misc_multiple_pointers_var.sv rename to designs/tests/misc/misc_multiple_pointers_var.sv diff --git a/tests/misc/misc_name_conflict0.sv b/designs/tests/misc/misc_name_conflict0.sv similarity index 100% rename from tests/misc/misc_name_conflict0.sv rename to designs/tests/misc/misc_name_conflict0.sv diff --git a/tests/misc/misc_name_conflict1.sv b/designs/tests/misc/misc_name_conflict1.sv similarity index 100% rename from tests/misc/misc_name_conflict1.sv rename to designs/tests/misc/misc_name_conflict1.sv diff --git a/tests/misc/misc_name_conflict2.sv b/designs/tests/misc/misc_name_conflict2.sv similarity index 100% rename from tests/misc/misc_name_conflict2.sv rename to designs/tests/misc/misc_name_conflict2.sv diff --git a/tests/misc/misc_name_conflict3.sv b/designs/tests/misc/misc_name_conflict3.sv similarity index 100% rename from tests/misc/misc_name_conflict3.sv rename to designs/tests/misc/misc_name_conflict3.sv diff --git a/tests/misc/misc_name_conflict4.sv b/designs/tests/misc/misc_name_conflict4.sv similarity index 100% rename from tests/misc/misc_name_conflict4.sv rename to designs/tests/misc/misc_name_conflict4.sv diff --git a/tests/misc/misc_name_conflict5.sv b/designs/tests/misc/misc_name_conflict5.sv similarity index 100% rename from tests/misc/misc_name_conflict5.sv rename to designs/tests/misc/misc_name_conflict5.sv diff --git a/tests/misc/misc_name_conflict6.sv b/designs/tests/misc/misc_name_conflict6.sv similarity index 100% rename from tests/misc/misc_name_conflict6.sv rename to designs/tests/misc/misc_name_conflict6.sv diff --git a/tests/misc/misc_name_conflict7.sv b/designs/tests/misc/misc_name_conflict7.sv similarity index 100% rename from tests/misc/misc_name_conflict7.sv rename to designs/tests/misc/misc_name_conflict7.sv diff --git a/tests/misc/misc_pointer_null_arr.sv b/designs/tests/misc/misc_pointer_null_arr.sv similarity index 100% rename from tests/misc/misc_pointer_null_arr.sv rename to designs/tests/misc/misc_pointer_null_arr.sv diff --git a/tests/misc/misc_pointer_warning.sv b/designs/tests/misc/misc_pointer_warning.sv similarity index 100% rename from tests/misc/misc_pointer_warning.sv rename to designs/tests/misc/misc_pointer_warning.sv diff --git a/tests/misc/misc_proc_kind.sv b/designs/tests/misc/misc_proc_kind.sv similarity index 100% rename from tests/misc/misc_proc_kind.sv rename to designs/tests/misc/misc_proc_kind.sv diff --git a/tests/misc/misc_process_simple.sv b/designs/tests/misc/misc_process_simple.sv similarity index 100% rename from tests/misc/misc_process_simple.sv rename to designs/tests/misc/misc_process_simple.sv diff --git a/tests/misc/misc_promote_port_types.sv b/designs/tests/misc/misc_promote_port_types.sv similarity index 100% rename from tests/misc/misc_promote_port_types.sv rename to designs/tests/misc/misc_promote_port_types.sv diff --git a/tests/misc/misc_promote_ports.sv b/designs/tests/misc/misc_promote_ports.sv similarity index 100% rename from tests/misc/misc_promote_ports.sv rename to designs/tests/misc/misc_promote_ports.sv diff --git a/tests/misc/misc_promote_ports_2.sv b/designs/tests/misc/misc_promote_ports_2.sv similarity index 100% rename from tests/misc/misc_promote_ports_2.sv rename to designs/tests/misc/misc_promote_ports_2.sv diff --git a/tests/misc/misc_promote_ports_simple.sv b/designs/tests/misc/misc_promote_ports_simple.sv similarity index 100% rename from tests/misc/misc_promote_ports_simple.sv rename to designs/tests/misc/misc_promote_ports_simple.sv diff --git a/tests/misc/misc_read_notdef_chan.sv b/designs/tests/misc/misc_read_notdef_chan.sv similarity index 100% rename from tests/misc/misc_read_notdef_chan.sv rename to designs/tests/misc/misc_read_notdef_chan.sv diff --git a/tests/misc/misc_read_notdef_var.sv b/designs/tests/misc/misc_read_notdef_var.sv similarity index 100% rename from tests/misc/misc_read_notdef_var.sv rename to designs/tests/misc/misc_read_notdef_var.sv diff --git a/tests/misc/misc_reference_met.sv b/designs/tests/misc/misc_reference_met.sv similarity index 100% rename from tests/misc/misc_reference_met.sv rename to designs/tests/misc/misc_reference_met.sv diff --git a/tests/misc/misc_sc_port.sv b/designs/tests/misc/misc_sc_port.sv similarity index 100% rename from tests/misc/misc_sc_port.sv rename to designs/tests/misc/misc_sc_port.sv diff --git a/tests/misc/misc_sc_port3.sv b/designs/tests/misc/misc_sc_port3.sv similarity index 100% rename from tests/misc/misc_sc_port3.sv rename to designs/tests/misc/misc_sc_port3.sv diff --git a/tests/misc/misc_sc_port4.sv b/designs/tests/misc/misc_sc_port4.sv similarity index 100% rename from tests/misc/misc_sc_port4.sv rename to designs/tests/misc/misc_sc_port4.sv diff --git a/tests/misc/misc_sc_port_dyn.sv b/designs/tests/misc/misc_sc_port_dyn.sv similarity index 100% rename from tests/misc/misc_sc_port_dyn.sv rename to designs/tests/misc/misc_sc_port_dyn.sv diff --git a/tests/misc/misc_sc_port_ptr.sv b/designs/tests/misc/misc_sc_port_ptr.sv similarity index 100% rename from tests/misc/misc_sc_port_ptr.sv rename to designs/tests/misc/misc_sc_port_ptr.sv diff --git a/tests/misc/misc_sc_port_ptr2.sv b/designs/tests/misc/misc_sc_port_ptr2.sv similarity index 100% rename from tests/misc/misc_sc_port_ptr2.sv rename to designs/tests/misc/misc_sc_port_ptr2.sv diff --git a/tests/misc/misc_sc_port_ptr_dyn.sv b/designs/tests/misc/misc_sc_port_ptr_dyn.sv similarity index 100% rename from tests/misc/misc_sc_port_ptr_dyn.sv rename to designs/tests/misc/misc_sc_port_ptr_dyn.sv diff --git a/tests/misc/misc_sc_vector1.sv b/designs/tests/misc/misc_sc_vector1.sv similarity index 100% rename from tests/misc/misc_sc_vector1.sv rename to designs/tests/misc/misc_sc_vector1.sv diff --git a/tests/misc/misc_sc_vector2.sv b/designs/tests/misc/misc_sc_vector2.sv similarity index 100% rename from tests/misc/misc_sc_vector2.sv rename to designs/tests/misc/misc_sc_vector2.sv diff --git a/tests/misc/misc_sig_access_reset.sv b/designs/tests/misc/misc_sig_access_reset.sv similarity index 100% rename from tests/misc/misc_sig_access_reset.sv rename to designs/tests/misc/misc_sig_access_reset.sv diff --git a/tests/misc/misc_signal_reset.sv b/designs/tests/misc/misc_signal_reset.sv similarity index 100% rename from tests/misc/misc_signal_reset.sv rename to designs/tests/misc/misc_signal_reset.sv diff --git a/tests/misc/misc_single_source.sv b/designs/tests/misc/misc_single_source.sv similarity index 100% rename from tests/misc/misc_single_source.sv rename to designs/tests/misc/misc_single_source.sv diff --git a/tests/misc/misc_single_state_thread.sv b/designs/tests/misc/misc_single_state_thread.sv similarity index 100% rename from tests/misc/misc_single_state_thread.sv rename to designs/tests/misc/misc_single_state_thread.sv diff --git a/tests/misc/misc_statistic.sv b/designs/tests/misc/misc_statistic.sv similarity index 100% rename from tests/misc/misc_statistic.sv rename to designs/tests/misc/misc_statistic.sv diff --git a/tests/misc/misc_string.sv b/designs/tests/misc/misc_string.sv similarity index 100% rename from tests/misc/misc_string.sv rename to designs/tests/misc/misc_string.sv diff --git a/tests/misc/misc_sva_assert.sv b/designs/tests/misc/misc_sva_assert.sv similarity index 100% rename from tests/misc/misc_sva_assert.sv rename to designs/tests/misc/misc_sva_assert.sv diff --git a/tests/misc/misc_sva_generate.sv b/designs/tests/misc/misc_sva_generate.sv similarity index 100% rename from tests/misc/misc_sva_generate.sv rename to designs/tests/misc/misc_sva_generate.sv diff --git a/tests/misc/misc_sva_generate_base_mod.sv b/designs/tests/misc/misc_sva_generate_base_mod.sv similarity index 100% rename from tests/misc/misc_sva_generate_base_mod.sv rename to designs/tests/misc/misc_sva_generate_base_mod.sv diff --git a/tests/misc/misc_sva_generate_mod.sv b/designs/tests/misc/misc_sva_generate_mod.sv similarity index 100% rename from tests/misc/misc_sva_generate_mod.sv rename to designs/tests/misc/misc_sva_generate_mod.sv diff --git a/tests/misc/misc_sva_generate_proc.sv b/designs/tests/misc/misc_sva_generate_proc.sv similarity index 100% rename from tests/misc/misc_sva_generate_proc.sv rename to designs/tests/misc/misc_sva_generate_proc.sv diff --git a/tests/misc/misc_sva_generate_proc_loop.sv b/designs/tests/misc/misc_sva_generate_proc_loop.sv similarity index 100% rename from tests/misc/misc_sva_generate_proc_loop.sv rename to designs/tests/misc/misc_sva_generate_proc_loop.sv diff --git a/tests/misc/misc_sva_generate_proc_nogen.sv b/designs/tests/misc/misc_sva_generate_proc_nogen.sv similarity index 100% rename from tests/misc/misc_sva_generate_proc_nogen.sv rename to designs/tests/misc/misc_sva_generate_proc_nogen.sv diff --git a/tests/misc/misc_sva_generate_proc_off.sv b/designs/tests/misc/misc_sva_generate_proc_off.sv similarity index 100% rename from tests/misc/misc_sva_generate_proc_off.sv rename to designs/tests/misc/misc_sva_generate_proc_off.sv diff --git a/tests/misc/misc_sva_generate_stable.sv b/designs/tests/misc/misc_sva_generate_stable.sv similarity index 100% rename from tests/misc/misc_sva_generate_stable.sv rename to designs/tests/misc/misc_sva_generate_stable.sv diff --git a/tests/misc/misc_unsigned_mode.sv b/designs/tests/misc/misc_unsigned_mode.sv similarity index 100% rename from tests/misc/misc_unsigned_mode.sv rename to designs/tests/misc/misc_unsigned_mode.sv diff --git a/tests/misc/misc_unused_remove_local.sv b/designs/tests/misc/misc_unused_remove_local.sv similarity index 100% rename from tests/misc/misc_unused_remove_local.sv rename to designs/tests/misc/misc_unused_remove_local.sv diff --git a/tests/misc/misc_unused_remove_member.sv b/designs/tests/misc/misc_unused_remove_member.sv similarity index 100% rename from tests/misc/misc_unused_remove_member.sv rename to designs/tests/misc/misc_unused_remove_member.sv diff --git a/tests/misc/misc_unused_remove_mif.sv b/designs/tests/misc/misc_unused_remove_mif.sv similarity index 100% rename from tests/misc/misc_unused_remove_mif.sv rename to designs/tests/misc/misc_unused_remove_mif.sv diff --git a/tests/misc/misc_vector_in_top.sv b/designs/tests/misc/misc_vector_in_top.sv similarity index 100% rename from tests/misc/misc_vector_in_top.sv rename to designs/tests/misc/misc_vector_in_top.sv diff --git a/tests/misc/misc_vendor_memory.sv b/designs/tests/misc/misc_vendor_memory.sv similarity index 100% rename from tests/misc/misc_vendor_memory.sv rename to designs/tests/misc/misc_vendor_memory.sv diff --git a/tests/misc/misc_vendor_memory_if.sv b/designs/tests/misc/misc_vendor_memory_if.sv similarity index 100% rename from tests/misc/misc_vendor_memory_if.sv rename to designs/tests/misc/misc_vendor_memory_if.sv diff --git a/tests/misc/misc_vendor_memory_sem.sv b/designs/tests/misc/misc_vendor_memory_sem.sv similarity index 100% rename from tests/misc/misc_vendor_memory_sem.sv rename to designs/tests/misc/misc_vendor_memory_sem.sv diff --git a/tests/misc/misc_verilog_intrinsic.sv b/designs/tests/misc/misc_verilog_intrinsic.sv similarity index 100% rename from tests/misc/misc_verilog_intrinsic.sv rename to designs/tests/misc/misc_verilog_intrinsic.sv diff --git a/tests/misc/misc_verilog_intrinsic2.sv b/designs/tests/misc/misc_verilog_intrinsic2.sv similarity index 100% rename from tests/misc/misc_verilog_intrinsic2.sv rename to designs/tests/misc/misc_verilog_intrinsic2.sv diff --git a/tests/misc/misc_verilog_intrinsic3.sv b/designs/tests/misc/misc_verilog_intrinsic3.sv similarity index 100% rename from tests/misc/misc_verilog_intrinsic3.sv rename to designs/tests/misc/misc_verilog_intrinsic3.sv diff --git a/tests/misc/misc_verilog_keyword.sv b/designs/tests/misc/misc_verilog_keyword.sv similarity index 100% rename from tests/misc/misc_verilog_keyword.sv rename to designs/tests/misc/misc_verilog_keyword.sv diff --git a/tests/misc/test_array_in_top.cpp b/designs/tests/misc/test_array_in_top.cpp similarity index 100% rename from tests/misc/test_array_in_top.cpp rename to designs/tests/misc/test_array_in_top.cpp diff --git a/tests/misc/test_array_module.cpp b/designs/tests/misc/test_array_module.cpp similarity index 100% rename from tests/misc/test_array_module.cpp rename to designs/tests/misc/test_array_module.cpp diff --git a/tests/misc/test_array_module_fail.cpp b/designs/tests/misc/test_array_module_fail.cpp similarity index 100% rename from tests/misc/test_array_module_fail.cpp rename to designs/tests/misc/test_array_module_fail.cpp diff --git a/tests/misc/test_array_no_indx_fail.cpp b/designs/tests/misc/test_array_no_indx_fail.cpp similarity index 100% rename from tests/misc/test_array_no_indx_fail.cpp rename to designs/tests/misc/test_array_no_indx_fail.cpp diff --git a/tests/misc/test_array_ptr_noninit.cpp b/designs/tests/misc/test_array_ptr_noninit.cpp similarity index 100% rename from tests/misc/test_array_ptr_noninit.cpp rename to designs/tests/misc/test_array_ptr_noninit.cpp diff --git a/tests/misc/test_array_to_pointer.cpp b/designs/tests/misc/test_array_to_pointer.cpp similarity index 100% rename from tests/misc/test_array_to_pointer.cpp rename to designs/tests/misc/test_array_to_pointer.cpp diff --git a/tests/misc/test_array_to_pointer_fail.cpp b/designs/tests/misc/test_array_to_pointer_fail.cpp similarity index 100% rename from tests/misc/test_array_to_pointer_fail.cpp rename to designs/tests/misc/test_array_to_pointer_fail.cpp diff --git a/tests/misc/test_comb_signal.cpp b/designs/tests/misc/test_comb_signal.cpp similarity index 100% rename from tests/misc/test_comb_signal.cpp rename to designs/tests/misc/test_comb_signal.cpp diff --git a/tests/misc/test_comb_signal_clear.cpp b/designs/tests/misc/test_comb_signal_clear.cpp similarity index 100% rename from tests/misc/test_comb_signal_clear.cpp rename to designs/tests/misc/test_comb_signal_clear.cpp diff --git a/tests/misc/test_comb_signal_fail.cpp b/designs/tests/misc/test_comb_signal_fail.cpp similarity index 100% rename from tests/misc/test_comb_signal_fail.cpp rename to designs/tests/misc/test_comb_signal_fail.cpp diff --git a/tests/misc/test_cond_side_effect_fail.cpp b/designs/tests/misc/test_cond_side_effect_fail.cpp similarity index 100% rename from tests/misc/test_cond_side_effect_fail.cpp rename to designs/tests/misc/test_cond_side_effect_fail.cpp diff --git a/tests/misc/test_const_array.cpp b/designs/tests/misc/test_const_array.cpp similarity index 100% rename from tests/misc/test_const_array.cpp rename to designs/tests/misc/test_const_array.cpp diff --git a/tests/misc/test_const_enum_namespace.cpp b/designs/tests/misc/test_const_enum_namespace.cpp similarity index 100% rename from tests/misc/test_const_enum_namespace.cpp rename to designs/tests/misc/test_const_enum_namespace.cpp diff --git a/tests/misc/test_const_global.cpp b/designs/tests/misc/test_const_global.cpp similarity index 100% rename from tests/misc/test_const_global.cpp rename to designs/tests/misc/test_const_global.cpp diff --git a/tests/misc/test_const_init.cpp b/designs/tests/misc/test_const_init.cpp similarity index 100% rename from tests/misc/test_const_init.cpp rename to designs/tests/misc/test_const_init.cpp diff --git a/tests/misc/test_const_init_fcall.cpp b/designs/tests/misc/test_const_init_fcall.cpp similarity index 100% rename from tests/misc/test_const_init_fcall.cpp rename to designs/tests/misc/test_const_init_fcall.cpp diff --git a/tests/misc/test_const_keep_var1.cpp b/designs/tests/misc/test_const_keep_var1.cpp similarity index 100% rename from tests/misc/test_const_keep_var1.cpp rename to designs/tests/misc/test_const_keep_var1.cpp diff --git a/tests/misc/test_const_keep_var2.cpp b/designs/tests/misc/test_const_keep_var2.cpp similarity index 100% rename from tests/misc/test_const_keep_var2.cpp rename to designs/tests/misc/test_const_keep_var2.cpp diff --git a/tests/misc/test_const_keep_var3.cpp b/designs/tests/misc/test_const_keep_var3.cpp similarity index 100% rename from tests/misc/test_const_keep_var3.cpp rename to designs/tests/misc/test_const_keep_var3.cpp diff --git a/tests/misc/test_const_large.cpp b/designs/tests/misc/test_const_large.cpp similarity index 100% rename from tests/misc/test_const_large.cpp rename to designs/tests/misc/test_const_large.cpp diff --git a/tests/misc/test_const_pointer.cpp b/designs/tests/misc/test_const_pointer.cpp similarity index 100% rename from tests/misc/test_const_pointer.cpp rename to designs/tests/misc/test_const_pointer.cpp diff --git a/tests/misc/test_const_radix.cpp b/designs/tests/misc/test_const_radix.cpp similarity index 100% rename from tests/misc/test_const_radix.cpp rename to designs/tests/misc/test_const_radix.cpp diff --git a/tests/misc/test_const_remove.cpp b/designs/tests/misc/test_const_remove.cpp similarity index 100% rename from tests/misc/test_const_remove.cpp rename to designs/tests/misc/test_const_remove.cpp diff --git a/tests/misc/test_constexpr.cpp b/designs/tests/misc/test_constexpr.cpp similarity index 100% rename from tests/misc/test_constexpr.cpp rename to designs/tests/misc/test_constexpr.cpp diff --git a/tests/misc/test_cross_hierarchy_bind1.cpp b/designs/tests/misc/test_cross_hierarchy_bind1.cpp similarity index 100% rename from tests/misc/test_cross_hierarchy_bind1.cpp rename to designs/tests/misc/test_cross_hierarchy_bind1.cpp diff --git a/tests/misc/test_cross_hierarchy_bind2.cpp b/designs/tests/misc/test_cross_hierarchy_bind2.cpp similarity index 100% rename from tests/misc/test_cross_hierarchy_bind2.cpp rename to designs/tests/misc/test_cross_hierarchy_bind2.cpp diff --git a/tests/misc/test_cross_hierarchy_bind3.cpp b/designs/tests/misc/test_cross_hierarchy_bind3.cpp similarity index 100% rename from tests/misc/test_cross_hierarchy_bind3.cpp rename to designs/tests/misc/test_cross_hierarchy_bind3.cpp diff --git a/tests/misc/test_dac2019_apb.cpp b/designs/tests/misc/test_dac2019_apb.cpp similarity index 100% rename from tests/misc/test_dac2019_apb.cpp rename to designs/tests/misc/test_dac2019_apb.cpp diff --git a/tests/misc/test_dac2019_apb.sv b/designs/tests/misc/test_dac2019_apb.sv similarity index 100% rename from tests/misc/test_dac2019_apb.sv rename to designs/tests/misc/test_dac2019_apb.sv diff --git a/tests/misc/test_demo_nov27.cpp b/designs/tests/misc/test_demo_nov27.cpp similarity index 100% rename from tests/misc/test_demo_nov27.cpp rename to designs/tests/misc/test_demo_nov27.cpp diff --git a/tests/misc/test_demo_nov27.sv b/designs/tests/misc/test_demo_nov27.sv similarity index 100% rename from tests/misc/test_demo_nov27.sv rename to designs/tests/misc/test_demo_nov27.sv diff --git a/tests/misc/test_double_alloc.cpp b/designs/tests/misc/test_double_alloc.cpp similarity index 100% rename from tests/misc/test_double_alloc.cpp rename to designs/tests/misc/test_double_alloc.cpp diff --git a/tests/misc/test_dynamic_array.cpp b/designs/tests/misc/test_dynamic_array.cpp similarity index 100% rename from tests/misc/test_dynamic_array.cpp rename to designs/tests/misc/test_dynamic_array.cpp diff --git a/tests/misc/test_dynamic_ports.cpp b/designs/tests/misc/test_dynamic_ports.cpp similarity index 100% rename from tests/misc/test_dynamic_ports.cpp rename to designs/tests/misc/test_dynamic_ports.cpp diff --git a/tests/misc/test_empty_process.cpp b/designs/tests/misc/test_empty_process.cpp similarity index 100% rename from tests/misc/test_empty_process.cpp rename to designs/tests/misc/test_empty_process.cpp diff --git a/tests/misc/test_fcall_array.cpp b/designs/tests/misc/test_fcall_array.cpp similarity index 100% rename from tests/misc/test_fcall_array.cpp rename to designs/tests/misc/test_fcall_array.cpp diff --git a/tests/misc/test_fcall_array_unknown.cpp b/designs/tests/misc/test_fcall_array_unknown.cpp similarity index 100% rename from tests/misc/test_fcall_array_unknown.cpp rename to designs/tests/misc/test_fcall_array_unknown.cpp diff --git a/tests/misc/test_fcall_empty.cpp b/designs/tests/misc/test_fcall_empty.cpp similarity index 100% rename from tests/misc/test_fcall_empty.cpp rename to designs/tests/misc/test_fcall_empty.cpp diff --git a/tests/misc/test_fcall_in_loop_cond.cpp b/designs/tests/misc/test_fcall_in_loop_cond.cpp similarity index 100% rename from tests/misc/test_fcall_in_loop_cond.cpp rename to designs/tests/misc/test_fcall_in_loop_cond.cpp diff --git a/tests/misc/test_fcall_loop.cpp b/designs/tests/misc/test_fcall_loop.cpp similarity index 100% rename from tests/misc/test_fcall_loop.cpp rename to designs/tests/misc/test_fcall_loop.cpp diff --git a/tests/misc/test_fcall_multi_state.cpp b/designs/tests/misc/test_fcall_multi_state.cpp similarity index 100% rename from tests/misc/test_fcall_multi_state.cpp rename to designs/tests/misc/test_fcall_multi_state.cpp diff --git a/tests/misc/test_fcall_params.cpp b/designs/tests/misc/test_fcall_params.cpp similarity index 100% rename from tests/misc/test_fcall_params.cpp rename to designs/tests/misc/test_fcall_params.cpp diff --git a/tests/misc/test_fcall_return_fail1.cpp b/designs/tests/misc/test_fcall_return_fail1.cpp similarity index 100% rename from tests/misc/test_fcall_return_fail1.cpp rename to designs/tests/misc/test_fcall_return_fail1.cpp diff --git a/tests/misc/test_fcall_return_fail2.cpp b/designs/tests/misc/test_fcall_return_fail2.cpp similarity index 100% rename from tests/misc/test_fcall_return_fail2.cpp rename to designs/tests/misc/test_fcall_return_fail2.cpp diff --git a/tests/misc/test_fcall_return_fail3.cpp b/designs/tests/misc/test_fcall_return_fail3.cpp similarity index 100% rename from tests/misc/test_fcall_return_fail3.cpp rename to designs/tests/misc/test_fcall_return_fail3.cpp diff --git a/tests/misc/test_fcall_return_fail4.cpp b/designs/tests/misc/test_fcall_return_fail4.cpp similarity index 100% rename from tests/misc/test_fcall_return_fail4.cpp rename to designs/tests/misc/test_fcall_return_fail4.cpp diff --git a/tests/misc/test_init_local_var1.cpp b/designs/tests/misc/test_init_local_var1.cpp similarity index 100% rename from tests/misc/test_init_local_var1.cpp rename to designs/tests/misc/test_init_local_var1.cpp diff --git a/tests/misc/test_init_local_var2.cpp b/designs/tests/misc/test_init_local_var2.cpp similarity index 100% rename from tests/misc/test_init_local_var2.cpp rename to designs/tests/misc/test_init_local_var2.cpp diff --git a/tests/misc/test_init_reset_local_var.cpp b/designs/tests/misc/test_init_reset_local_var.cpp similarity index 100% rename from tests/misc/test_init_reset_local_var.cpp rename to designs/tests/misc/test_init_reset_local_var.cpp diff --git a/tests/misc/test_keep_template_param.cpp b/designs/tests/misc/test_keep_template_param.cpp similarity index 100% rename from tests/misc/test_keep_template_param.cpp rename to designs/tests/misc/test_keep_template_param.cpp diff --git a/tests/misc/test_large_array.cpp b/designs/tests/misc/test_large_array.cpp similarity index 100% rename from tests/misc/test_large_array.cpp rename to designs/tests/misc/test_large_array.cpp diff --git a/tests/misc/test_localparam.cpp b/designs/tests/misc/test_localparam.cpp similarity index 100% rename from tests/misc/test_localparam.cpp rename to designs/tests/misc/test_localparam.cpp diff --git a/tests/misc/test_loop_return_fail.cpp b/designs/tests/misc/test_loop_return_fail.cpp similarity index 100% rename from tests/misc/test_loop_return_fail.cpp rename to designs/tests/misc/test_loop_return_fail.cpp diff --git a/tests/misc/test_mif_array_differ.cpp b/designs/tests/misc/test_mif_array_differ.cpp similarity index 100% rename from tests/misc/test_mif_array_differ.cpp rename to designs/tests/misc/test_mif_array_differ.cpp diff --git a/tests/misc/test_mod_array_differ.cpp b/designs/tests/misc/test_mod_array_differ.cpp similarity index 100% rename from tests/misc/test_mod_array_differ.cpp rename to designs/tests/misc/test_mod_array_differ.cpp diff --git a/tests/misc/test_module_base_array.cpp b/designs/tests/misc/test_module_base_array.cpp similarity index 100% rename from tests/misc/test_module_base_array.cpp rename to designs/tests/misc/test_module_base_array.cpp diff --git a/tests/misc/test_module_binds_array.cpp b/designs/tests/misc/test_module_binds_array.cpp similarity index 100% rename from tests/misc/test_module_binds_array.cpp rename to designs/tests/misc/test_module_binds_array.cpp diff --git a/tests/misc/test_module_binds_double.cpp b/designs/tests/misc/test_module_binds_double.cpp similarity index 100% rename from tests/misc/test_module_binds_double.cpp rename to designs/tests/misc/test_module_binds_double.cpp diff --git a/tests/misc/test_module_binds_simple.cpp b/designs/tests/misc/test_module_binds_simple.cpp similarity index 100% rename from tests/misc/test_module_binds_simple.cpp rename to designs/tests/misc/test_module_binds_simple.cpp diff --git a/tests/misc/test_module_port_array.cpp b/designs/tests/misc/test_module_port_array.cpp similarity index 100% rename from tests/misc/test_module_port_array.cpp rename to designs/tests/misc/test_module_port_array.cpp diff --git a/tests/misc/test_module_port_sig.cpp b/designs/tests/misc/test_module_port_sig.cpp similarity index 100% rename from tests/misc/test_module_port_sig.cpp rename to designs/tests/misc/test_module_port_sig.cpp diff --git a/tests/misc/test_module_prefix.cpp b/designs/tests/misc/test_module_prefix.cpp similarity index 100% rename from tests/misc/test_module_prefix.cpp rename to designs/tests/misc/test_module_prefix.cpp diff --git a/tests/misc/test_module_sections.cpp b/designs/tests/misc/test_module_sections.cpp similarity index 100% rename from tests/misc/test_module_sections.cpp rename to designs/tests/misc/test_module_sections.cpp diff --git a/tests/misc/test_module_typedef.cpp b/designs/tests/misc/test_module_typedef.cpp similarity index 100% rename from tests/misc/test_module_typedef.cpp rename to designs/tests/misc/test_module_typedef.cpp diff --git a/tests/misc/test_multi_bind1.cpp b/designs/tests/misc/test_multi_bind1.cpp similarity index 100% rename from tests/misc/test_multi_bind1.cpp rename to designs/tests/misc/test_multi_bind1.cpp diff --git a/tests/misc/test_multi_bind2.cpp b/designs/tests/misc/test_multi_bind2.cpp similarity index 100% rename from tests/misc/test_multi_bind2.cpp rename to designs/tests/misc/test_multi_bind2.cpp diff --git a/tests/misc/test_multi_bind_fail.cpp b/designs/tests/misc/test_multi_bind_fail.cpp similarity index 100% rename from tests/misc/test_multi_bind_fail.cpp rename to designs/tests/misc/test_multi_bind_fail.cpp diff --git a/tests/misc/test_multiple_pointers_mod.cpp b/designs/tests/misc/test_multiple_pointers_mod.cpp similarity index 100% rename from tests/misc/test_multiple_pointers_mod.cpp rename to designs/tests/misc/test_multiple_pointers_mod.cpp diff --git a/tests/misc/test_multiple_pointers_var.cpp b/designs/tests/misc/test_multiple_pointers_var.cpp similarity index 100% rename from tests/misc/test_multiple_pointers_var.cpp rename to designs/tests/misc/test_multiple_pointers_var.cpp diff --git a/tests/misc/test_name_conflict0.cpp b/designs/tests/misc/test_name_conflict0.cpp similarity index 100% rename from tests/misc/test_name_conflict0.cpp rename to designs/tests/misc/test_name_conflict0.cpp diff --git a/tests/misc/test_name_conflict1.cpp b/designs/tests/misc/test_name_conflict1.cpp similarity index 100% rename from tests/misc/test_name_conflict1.cpp rename to designs/tests/misc/test_name_conflict1.cpp diff --git a/tests/misc/test_name_conflict2.cpp b/designs/tests/misc/test_name_conflict2.cpp similarity index 100% rename from tests/misc/test_name_conflict2.cpp rename to designs/tests/misc/test_name_conflict2.cpp diff --git a/tests/misc/test_name_conflict3.cpp b/designs/tests/misc/test_name_conflict3.cpp similarity index 100% rename from tests/misc/test_name_conflict3.cpp rename to designs/tests/misc/test_name_conflict3.cpp diff --git a/tests/misc/test_name_conflict4.cpp b/designs/tests/misc/test_name_conflict4.cpp similarity index 100% rename from tests/misc/test_name_conflict4.cpp rename to designs/tests/misc/test_name_conflict4.cpp diff --git a/tests/misc/test_name_conflict5.cpp b/designs/tests/misc/test_name_conflict5.cpp similarity index 100% rename from tests/misc/test_name_conflict5.cpp rename to designs/tests/misc/test_name_conflict5.cpp diff --git a/tests/misc/test_name_conflict6.cpp b/designs/tests/misc/test_name_conflict6.cpp similarity index 100% rename from tests/misc/test_name_conflict6.cpp rename to designs/tests/misc/test_name_conflict6.cpp diff --git a/tests/misc/test_name_conflict7.cpp b/designs/tests/misc/test_name_conflict7.cpp similarity index 100% rename from tests/misc/test_name_conflict7.cpp rename to designs/tests/misc/test_name_conflict7.cpp diff --git a/tests/misc/test_neg_range_fail.cpp b/designs/tests/misc/test_neg_range_fail.cpp similarity index 100% rename from tests/misc/test_neg_range_fail.cpp rename to designs/tests/misc/test_neg_range_fail.cpp diff --git a/tests/misc/test_pointer_dangling2_fail.cpp b/designs/tests/misc/test_pointer_dangling2_fail.cpp similarity index 100% rename from tests/misc/test_pointer_dangling2_fail.cpp rename to designs/tests/misc/test_pointer_dangling2_fail.cpp diff --git a/tests/misc/test_pointer_dangling3_fail.cpp b/designs/tests/misc/test_pointer_dangling3_fail.cpp similarity index 100% rename from tests/misc/test_pointer_dangling3_fail.cpp rename to designs/tests/misc/test_pointer_dangling3_fail.cpp diff --git a/tests/misc/test_pointer_dangling_fail.cpp b/designs/tests/misc/test_pointer_dangling_fail.cpp similarity index 100% rename from tests/misc/test_pointer_dangling_fail.cpp rename to designs/tests/misc/test_pointer_dangling_fail.cpp diff --git a/tests/misc/test_pointer_null2_fail.cpp b/designs/tests/misc/test_pointer_null2_fail.cpp similarity index 100% rename from tests/misc/test_pointer_null2_fail.cpp rename to designs/tests/misc/test_pointer_null2_fail.cpp diff --git a/tests/misc/test_pointer_null3_fail.cpp b/designs/tests/misc/test_pointer_null3_fail.cpp similarity index 100% rename from tests/misc/test_pointer_null3_fail.cpp rename to designs/tests/misc/test_pointer_null3_fail.cpp diff --git a/tests/misc/test_pointer_null_arr.cpp b/designs/tests/misc/test_pointer_null_arr.cpp similarity index 100% rename from tests/misc/test_pointer_null_arr.cpp rename to designs/tests/misc/test_pointer_null_arr.cpp diff --git a/tests/misc/test_pointer_null_arr_fail.cpp b/designs/tests/misc/test_pointer_null_arr_fail.cpp similarity index 100% rename from tests/misc/test_pointer_null_arr_fail.cpp rename to designs/tests/misc/test_pointer_null_arr_fail.cpp diff --git a/tests/misc/test_pointer_null_fail.cpp b/designs/tests/misc/test_pointer_null_fail.cpp similarity index 100% rename from tests/misc/test_pointer_null_fail.cpp rename to designs/tests/misc/test_pointer_null_fail.cpp diff --git a/tests/misc/test_pointer_warning.cpp b/designs/tests/misc/test_pointer_warning.cpp similarity index 100% rename from tests/misc/test_pointer_warning.cpp rename to designs/tests/misc/test_pointer_warning.cpp diff --git a/tests/misc/test_process_simple.cpp b/designs/tests/misc/test_process_simple.cpp similarity index 100% rename from tests/misc/test_process_simple.cpp rename to designs/tests/misc/test_process_simple.cpp diff --git a/tests/misc/test_promote_port_types.cpp b/designs/tests/misc/test_promote_port_types.cpp similarity index 100% rename from tests/misc/test_promote_port_types.cpp rename to designs/tests/misc/test_promote_port_types.cpp diff --git a/tests/misc/test_promote_ports.cpp b/designs/tests/misc/test_promote_ports.cpp similarity index 100% rename from tests/misc/test_promote_ports.cpp rename to designs/tests/misc/test_promote_ports.cpp diff --git a/tests/misc/test_promote_ports_simple.cpp b/designs/tests/misc/test_promote_ports_simple.cpp similarity index 100% rename from tests/misc/test_promote_ports_simple.cpp rename to designs/tests/misc/test_promote_ports_simple.cpp diff --git a/tests/misc/test_read_notdef_chan.cpp b/designs/tests/misc/test_read_notdef_chan.cpp similarity index 100% rename from tests/misc/test_read_notdef_chan.cpp rename to designs/tests/misc/test_read_notdef_chan.cpp diff --git a/tests/misc/test_read_notdef_var.cpp b/designs/tests/misc/test_read_notdef_var.cpp similarity index 100% rename from tests/misc/test_read_notdef_var.cpp rename to designs/tests/misc/test_read_notdef_var.cpp diff --git a/tests/misc/test_reference_met.cpp b/designs/tests/misc/test_reference_met.cpp similarity index 100% rename from tests/misc/test_reference_met.cpp rename to designs/tests/misc/test_reference_met.cpp diff --git a/tests/misc/test_reg_array_target.cpp b/designs/tests/misc/test_reg_array_target.cpp similarity index 100% rename from tests/misc/test_reg_array_target.cpp rename to designs/tests/misc/test_reg_array_target.cpp diff --git a/tests/misc/test_sc_port.cpp b/designs/tests/misc/test_sc_port.cpp similarity index 100% rename from tests/misc/test_sc_port.cpp rename to designs/tests/misc/test_sc_port.cpp diff --git a/tests/misc/test_sc_port2.cpp b/designs/tests/misc/test_sc_port2.cpp similarity index 100% rename from tests/misc/test_sc_port2.cpp rename to designs/tests/misc/test_sc_port2.cpp diff --git a/tests/misc/test_sc_port3.cpp b/designs/tests/misc/test_sc_port3.cpp similarity index 100% rename from tests/misc/test_sc_port3.cpp rename to designs/tests/misc/test_sc_port3.cpp diff --git a/tests/misc/test_sc_port4.cpp b/designs/tests/misc/test_sc_port4.cpp similarity index 100% rename from tests/misc/test_sc_port4.cpp rename to designs/tests/misc/test_sc_port4.cpp diff --git a/tests/misc/test_sc_port_array1.cpp b/designs/tests/misc/test_sc_port_array1.cpp similarity index 100% rename from tests/misc/test_sc_port_array1.cpp rename to designs/tests/misc/test_sc_port_array1.cpp diff --git a/tests/misc/test_sc_port_array2.cpp b/designs/tests/misc/test_sc_port_array2.cpp similarity index 100% rename from tests/misc/test_sc_port_array2.cpp rename to designs/tests/misc/test_sc_port_array2.cpp diff --git a/tests/misc/test_sc_port_array_bug.cpp b/designs/tests/misc/test_sc_port_array_bug.cpp similarity index 100% rename from tests/misc/test_sc_port_array_bug.cpp rename to designs/tests/misc/test_sc_port_array_bug.cpp diff --git a/tests/misc/test_sc_port_dyn.cpp b/designs/tests/misc/test_sc_port_dyn.cpp similarity index 100% rename from tests/misc/test_sc_port_dyn.cpp rename to designs/tests/misc/test_sc_port_dyn.cpp diff --git a/tests/misc/test_sc_port_ptr.cpp b/designs/tests/misc/test_sc_port_ptr.cpp similarity index 100% rename from tests/misc/test_sc_port_ptr.cpp rename to designs/tests/misc/test_sc_port_ptr.cpp diff --git a/tests/misc/test_sc_port_ptr2.cpp b/designs/tests/misc/test_sc_port_ptr2.cpp similarity index 100% rename from tests/misc/test_sc_port_ptr2.cpp rename to designs/tests/misc/test_sc_port_ptr2.cpp diff --git a/tests/misc/test_sc_port_ptr_dyn.cpp b/designs/tests/misc/test_sc_port_ptr_dyn.cpp similarity index 100% rename from tests/misc/test_sc_port_ptr_dyn.cpp rename to designs/tests/misc/test_sc_port_ptr_dyn.cpp diff --git a/tests/misc/test_sc_vector1.cpp b/designs/tests/misc/test_sc_vector1.cpp similarity index 100% rename from tests/misc/test_sc_vector1.cpp rename to designs/tests/misc/test_sc_vector1.cpp diff --git a/tests/misc/test_sc_vector2.cpp b/designs/tests/misc/test_sc_vector2.cpp similarity index 100% rename from tests/misc/test_sc_vector2.cpp rename to designs/tests/misc/test_sc_vector2.cpp diff --git a/tests/misc/test_sensitivity.cpp b/designs/tests/misc/test_sensitivity.cpp similarity index 100% rename from tests/misc/test_sensitivity.cpp rename to designs/tests/misc/test_sensitivity.cpp diff --git a/tests/misc/test_sig_access_reset.cpp b/designs/tests/misc/test_sig_access_reset.cpp similarity index 100% rename from tests/misc/test_sig_access_reset.cpp rename to designs/tests/misc/test_sig_access_reset.cpp diff --git a/tests/misc/test_signal_reset.cpp b/designs/tests/misc/test_signal_reset.cpp similarity index 100% rename from tests/misc/test_signal_reset.cpp rename to designs/tests/misc/test_signal_reset.cpp diff --git a/tests/misc/test_single_state_thread.cpp b/designs/tests/misc/test_single_state_thread.cpp similarity index 100% rename from tests/misc/test_single_state_thread.cpp rename to designs/tests/misc/test_single_state_thread.cpp diff --git a/tests/misc/test_statistic.cpp b/designs/tests/misc/test_statistic.cpp similarity index 100% rename from tests/misc/test_statistic.cpp rename to designs/tests/misc/test_statistic.cpp diff --git a/tests/misc/test_string.cpp b/designs/tests/misc/test_string.cpp similarity index 100% rename from tests/misc/test_string.cpp rename to designs/tests/misc/test_string.cpp diff --git a/tests/misc/test_sva_assert.cpp b/designs/tests/misc/test_sva_assert.cpp similarity index 100% rename from tests/misc/test_sva_assert.cpp rename to designs/tests/misc/test_sva_assert.cpp diff --git a/tests/misc/test_sva_generate.cpp b/designs/tests/misc/test_sva_generate.cpp similarity index 100% rename from tests/misc/test_sva_generate.cpp rename to designs/tests/misc/test_sva_generate.cpp diff --git a/tests/misc/test_sva_generate_base_mod.cpp b/designs/tests/misc/test_sva_generate_base_mod.cpp similarity index 100% rename from tests/misc/test_sva_generate_base_mod.cpp rename to designs/tests/misc/test_sva_generate_base_mod.cpp diff --git a/tests/misc/test_sva_generate_func_fail1.cpp b/designs/tests/misc/test_sva_generate_func_fail1.cpp similarity index 100% rename from tests/misc/test_sva_generate_func_fail1.cpp rename to designs/tests/misc/test_sva_generate_func_fail1.cpp diff --git a/tests/misc/test_sva_generate_func_fail2.cpp b/designs/tests/misc/test_sva_generate_func_fail2.cpp similarity index 100% rename from tests/misc/test_sva_generate_func_fail2.cpp rename to designs/tests/misc/test_sva_generate_func_fail2.cpp diff --git a/tests/misc/test_sva_generate_mod.cpp b/designs/tests/misc/test_sva_generate_mod.cpp similarity index 100% rename from tests/misc/test_sva_generate_mod.cpp rename to designs/tests/misc/test_sva_generate_mod.cpp diff --git a/tests/misc/test_sva_generate_mod_fail.cpp b/designs/tests/misc/test_sva_generate_mod_fail.cpp similarity index 100% rename from tests/misc/test_sva_generate_mod_fail.cpp rename to designs/tests/misc/test_sva_generate_mod_fail.cpp diff --git a/tests/misc/test_sva_generate_proc.cpp b/designs/tests/misc/test_sva_generate_proc.cpp similarity index 100% rename from tests/misc/test_sva_generate_proc.cpp rename to designs/tests/misc/test_sva_generate_proc.cpp diff --git a/tests/misc/test_sva_generate_proc_fail.cpp b/designs/tests/misc/test_sva_generate_proc_fail.cpp similarity index 100% rename from tests/misc/test_sva_generate_proc_fail.cpp rename to designs/tests/misc/test_sva_generate_proc_fail.cpp diff --git a/tests/misc/test_sva_generate_proc_loop.cpp b/designs/tests/misc/test_sva_generate_proc_loop.cpp similarity index 100% rename from tests/misc/test_sva_generate_proc_loop.cpp rename to designs/tests/misc/test_sva_generate_proc_loop.cpp diff --git a/tests/misc/test_sva_generate_proc_nogen.cpp b/designs/tests/misc/test_sva_generate_proc_nogen.cpp similarity index 100% rename from tests/misc/test_sva_generate_proc_nogen.cpp rename to designs/tests/misc/test_sva_generate_proc_nogen.cpp diff --git a/tests/misc/test_sva_generate_proc_off.cpp b/designs/tests/misc/test_sva_generate_proc_off.cpp similarity index 100% rename from tests/misc/test_sva_generate_proc_off.cpp rename to designs/tests/misc/test_sva_generate_proc_off.cpp diff --git a/tests/misc/test_sva_generate_stable.cpp b/designs/tests/misc/test_sva_generate_stable.cpp similarity index 100% rename from tests/misc/test_sva_generate_stable.cpp rename to designs/tests/misc/test_sva_generate_stable.cpp diff --git a/tests/misc/test_unsigned_mode.cpp b/designs/tests/misc/test_unsigned_mode.cpp similarity index 100% rename from tests/misc/test_unsigned_mode.cpp rename to designs/tests/misc/test_unsigned_mode.cpp diff --git a/tests/misc/test_unused_remove_local.cpp b/designs/tests/misc/test_unused_remove_local.cpp similarity index 100% rename from tests/misc/test_unused_remove_local.cpp rename to designs/tests/misc/test_unused_remove_local.cpp diff --git a/tests/misc/test_unused_remove_member.cpp b/designs/tests/misc/test_unused_remove_member.cpp similarity index 100% rename from tests/misc/test_unused_remove_member.cpp rename to designs/tests/misc/test_unused_remove_member.cpp diff --git a/tests/misc/test_unused_remove_mif.cpp b/designs/tests/misc/test_unused_remove_mif.cpp similarity index 100% rename from tests/misc/test_unused_remove_mif.cpp rename to designs/tests/misc/test_unused_remove_mif.cpp diff --git a/tests/misc/test_vector_in_top.cpp b/designs/tests/misc/test_vector_in_top.cpp similarity index 100% rename from tests/misc/test_vector_in_top.cpp rename to designs/tests/misc/test_vector_in_top.cpp diff --git a/tests/misc/test_vendor_memory.cpp b/designs/tests/misc/test_vendor_memory.cpp similarity index 100% rename from tests/misc/test_vendor_memory.cpp rename to designs/tests/misc/test_vendor_memory.cpp diff --git a/tests/misc/test_vendor_memory_fail.cpp b/designs/tests/misc/test_vendor_memory_fail.cpp similarity index 100% rename from tests/misc/test_vendor_memory_fail.cpp rename to designs/tests/misc/test_vendor_memory_fail.cpp diff --git a/tests/misc/test_vendor_memory_if.cpp b/designs/tests/misc/test_vendor_memory_if.cpp similarity index 100% rename from tests/misc/test_vendor_memory_if.cpp rename to designs/tests/misc/test_vendor_memory_if.cpp diff --git a/tests/misc/test_vendor_memory_sem.cpp b/designs/tests/misc/test_vendor_memory_sem.cpp similarity index 100% rename from tests/misc/test_vendor_memory_sem.cpp rename to designs/tests/misc/test_vendor_memory_sem.cpp diff --git a/tests/misc/test_verilog_intrinsic.cpp b/designs/tests/misc/test_verilog_intrinsic.cpp similarity index 100% rename from tests/misc/test_verilog_intrinsic.cpp rename to designs/tests/misc/test_verilog_intrinsic.cpp diff --git a/tests/misc/test_verilog_intrinsic2.cpp b/designs/tests/misc/test_verilog_intrinsic2.cpp similarity index 100% rename from tests/misc/test_verilog_intrinsic2.cpp rename to designs/tests/misc/test_verilog_intrinsic2.cpp diff --git a/tests/misc/test_verilog_intrinsic3.cpp b/designs/tests/misc/test_verilog_intrinsic3.cpp similarity index 100% rename from tests/misc/test_verilog_intrinsic3.cpp rename to designs/tests/misc/test_verilog_intrinsic3.cpp diff --git a/tests/misc/test_verilog_intrinsic_fail.cpp b/designs/tests/misc/test_verilog_intrinsic_fail.cpp similarity index 100% rename from tests/misc/test_verilog_intrinsic_fail.cpp rename to designs/tests/misc/test_verilog_intrinsic_fail.cpp diff --git a/tests/misc/test_verilog_keyword.cpp b/designs/tests/misc/test_verilog_keyword.cpp similarity index 100% rename from tests/misc/test_verilog_keyword.cpp rename to designs/tests/misc/test_verilog_keyword.cpp diff --git a/tests/misc/test_zero_width_fail.cpp b/designs/tests/misc/test_zero_width_fail.cpp similarity index 100% rename from tests/misc/test_zero_width_fail.cpp rename to designs/tests/misc/test_zero_width_fail.cpp diff --git a/tests/record/CMakeLists.txt b/designs/tests/record/CMakeLists.txt similarity index 100% rename from tests/record/CMakeLists.txt rename to designs/tests/record/CMakeLists.txt diff --git a/tests/record/record_array_cthread.sv b/designs/tests/record/record_array_cthread.sv similarity index 100% rename from tests/record/record_array_cthread.sv rename to designs/tests/record/record_array_cthread.sv diff --git a/tests/record/record_array_decl_in_scope.sv b/designs/tests/record/record_array_decl_in_scope.sv similarity index 100% rename from tests/record/record_array_decl_in_scope.sv rename to designs/tests/record/record_array_decl_in_scope.sv diff --git a/tests/record/record_array_fcall_method.sv b/designs/tests/record/record_array_fcall_method.sv similarity index 100% rename from tests/record/record_array_fcall_method.sv rename to designs/tests/record/record_array_fcall_method.sv diff --git a/tests/record/record_array_if_method.sv b/designs/tests/record/record_array_if_method.sv similarity index 100% rename from tests/record/record_array_if_method.sv rename to designs/tests/record/record_array_if_method.sv diff --git a/tests/record/record_array_loops_method.sv b/designs/tests/record/record_array_loops_method.sv similarity index 100% rename from tests/record/record_array_loops_method.sv rename to designs/tests/record/record_array_loops_method.sv diff --git a/tests/record/record_array_method.sv b/designs/tests/record/record_array_method.sv similarity index 100% rename from tests/record/record_array_method.sv rename to designs/tests/record/record_array_method.sv diff --git a/tests/record/record_array_method2.sv b/designs/tests/record/record_array_method2.sv similarity index 100% rename from tests/record/record_array_method2.sv rename to designs/tests/record/record_array_method2.sv diff --git a/tests/record/record_array_unknown_cthread.sv b/designs/tests/record/record_array_unknown_cthread.sv similarity index 100% rename from tests/record/record_array_unknown_cthread.sv rename to designs/tests/record/record_array_unknown_cthread.sv diff --git a/tests/record/record_array_unknown_method.sv b/designs/tests/record/record_array_unknown_method.sv similarity index 100% rename from tests/record/record_array_unknown_method.sv rename to designs/tests/record/record_array_unknown_method.sv diff --git a/tests/record/record_array_var_indices_method.sv b/designs/tests/record/record_array_var_indices_method.sv similarity index 100% rename from tests/record/record_array_var_indices_method.sv rename to designs/tests/record/record_array_var_indices_method.sv diff --git a/tests/record/record_assign_concat_cthread.sv b/designs/tests/record/record_assign_concat_cthread.sv similarity index 100% rename from tests/record/record_assign_concat_cthread.sv rename to designs/tests/record/record_assign_concat_cthread.sv diff --git a/tests/record/record_assign_concat_method.sv b/designs/tests/record/record_assign_concat_method.sv similarity index 100% rename from tests/record/record_assign_concat_method.sv rename to designs/tests/record/record_assign_concat_method.sv diff --git a/tests/record/record_base_multi_record.sv b/designs/tests/record/record_base_multi_record.sv similarity index 100% rename from tests/record/record_base_multi_record.sv rename to designs/tests/record/record_base_multi_record.sv diff --git a/tests/record/record_base_record0.sv b/designs/tests/record/record_base_record0.sv similarity index 100% rename from tests/record/record_base_record0.sv rename to designs/tests/record/record_base_record0.sv diff --git a/tests/record/record_base_record1.sv b/designs/tests/record/record_base_record1.sv similarity index 100% rename from tests/record/record_base_record1.sv rename to designs/tests/record/record_base_record1.sv diff --git a/tests/record/record_base_record2.sv b/designs/tests/record/record_base_record2.sv similarity index 100% rename from tests/record/record_base_record2.sv rename to designs/tests/record/record_base_record2.sv diff --git a/tests/record/record_complex_method.sv b/designs/tests/record/record_complex_method.sv similarity index 100% rename from tests/record/record_complex_method.sv rename to designs/tests/record/record_complex_method.sv diff --git a/tests/record/record_fcall_cthread.sv b/designs/tests/record/record_fcall_cthread.sv similarity index 100% rename from tests/record/record_fcall_cthread.sv rename to designs/tests/record/record_fcall_cthread.sv diff --git a/tests/record/record_fcall_glob_cthread.sv b/designs/tests/record/record_fcall_glob_cthread.sv similarity index 100% rename from tests/record/record_fcall_glob_cthread.sv rename to designs/tests/record/record_fcall_glob_cthread.sv diff --git a/tests/record/record_func_in_ctor.sv b/designs/tests/record/record_func_in_ctor.sv similarity index 100% rename from tests/record/record_func_in_ctor.sv rename to designs/tests/record/record_func_in_ctor.sv diff --git a/tests/record/record_func_param_cthread.sv b/designs/tests/record/record_func_param_cthread.sv similarity index 100% rename from tests/record/record_func_param_cthread.sv rename to designs/tests/record/record_func_param_cthread.sv diff --git a/tests/record/record_func_param_method.sv b/designs/tests/record/record_func_param_method.sv similarity index 100% rename from tests/record/record_func_param_method.sv rename to designs/tests/record/record_func_param_method.sv diff --git a/tests/record/record_if_method.sv b/designs/tests/record/record_if_method.sv similarity index 100% rename from tests/record/record_if_method.sv rename to designs/tests/record/record_if_method.sv diff --git a/tests/record/record_member_array_copy.sv b/designs/tests/record/record_member_array_copy.sv similarity index 100% rename from tests/record/record_member_array_copy.sv rename to designs/tests/record/record_member_array_copy.sv diff --git a/tests/record/record_member_array_cthread.sv b/designs/tests/record/record_member_array_cthread.sv similarity index 100% rename from tests/record/record_member_array_cthread.sv rename to designs/tests/record/record_member_array_cthread.sv diff --git a/tests/record/record_member_array_method1.sv b/designs/tests/record/record_member_array_method1.sv similarity index 100% rename from tests/record/record_member_array_method1.sv rename to designs/tests/record/record_member_array_method1.sv diff --git a/tests/record/record_member_array_method2.sv b/designs/tests/record/record_member_array_method2.sv similarity index 100% rename from tests/record/record_member_array_method2.sv rename to designs/tests/record/record_member_array_method2.sv diff --git a/tests/record/record_member_array_method3.sv b/designs/tests/record/record_member_array_method3.sv similarity index 100% rename from tests/record/record_member_array_method3.sv rename to designs/tests/record/record_member_array_method3.sv diff --git a/tests/record/record_member_array_unkwn.sv b/designs/tests/record/record_member_array_unkwn.sv similarity index 100% rename from tests/record/record_member_array_unkwn.sv rename to designs/tests/record/record_member_array_unkwn.sv diff --git a/tests/record/record_member_func_cthread.sv b/designs/tests/record/record_member_func_cthread.sv similarity index 100% rename from tests/record/record_member_func_cthread.sv rename to designs/tests/record/record_member_func_cthread.sv diff --git a/tests/record/record_member_func_method.sv b/designs/tests/record/record_member_func_method.sv similarity index 100% rename from tests/record/record_member_func_method.sv rename to designs/tests/record/record_member_func_method.sv diff --git a/tests/record/record_member_record1.sv b/designs/tests/record/record_member_record1.sv similarity index 100% rename from tests/record/record_member_record1.sv rename to designs/tests/record/record_member_record1.sv diff --git a/tests/record/record_member_record_func.sv b/designs/tests/record/record_member_record_func.sv similarity index 100% rename from tests/record/record_member_record_func.sv rename to designs/tests/record/record_member_record_func.sv diff --git a/tests/record/record_ptr_arr_cthread.sv b/designs/tests/record/record_ptr_arr_cthread.sv similarity index 100% rename from tests/record/record_ptr_arr_cthread.sv rename to designs/tests/record/record_ptr_arr_cthread.sv diff --git a/tests/record/record_ptr_arr_method.sv b/designs/tests/record/record_ptr_arr_method.sv similarity index 100% rename from tests/record/record_ptr_arr_method.sv rename to designs/tests/record/record_ptr_arr_method.sv diff --git a/tests/record/record_read_defined.sv b/designs/tests/record/record_read_defined.sv similarity index 100% rename from tests/record/record_read_defined.sv rename to designs/tests/record/record_read_defined.sv diff --git a/tests/record/record_reg_arr_cthread.sv b/designs/tests/record/record_reg_arr_cthread.sv similarity index 100% rename from tests/record/record_reg_arr_cthread.sv rename to designs/tests/record/record_reg_arr_cthread.sv diff --git a/tests/record/record_reg_cthread0.sv b/designs/tests/record/record_reg_cthread0.sv similarity index 100% rename from tests/record/record_reg_cthread0.sv rename to designs/tests/record/record_reg_cthread0.sv diff --git a/tests/record/record_reg_cthread1.sv b/designs/tests/record/record_reg_cthread1.sv similarity index 100% rename from tests/record/record_reg_cthread1.sv rename to designs/tests/record/record_reg_cthread1.sv diff --git a/tests/record/record_reg_func_cthread1.sv b/designs/tests/record/record_reg_func_cthread1.sv similarity index 100% rename from tests/record/record_reg_func_cthread1.sv rename to designs/tests/record/record_reg_func_cthread1.sv diff --git a/tests/record/record_reg_func_cthread2.sv b/designs/tests/record/record_reg_func_cthread2.sv similarity index 100% rename from tests/record/record_reg_func_cthread2.sv rename to designs/tests/record/record_reg_func_cthread2.sv diff --git a/tests/record/record_return_assert_method.sv b/designs/tests/record/record_return_assert_method.sv similarity index 100% rename from tests/record/record_return_assert_method.sv rename to designs/tests/record/record_return_assert_method.sv diff --git a/tests/record/record_return_cthread.sv b/designs/tests/record/record_return_cthread.sv similarity index 100% rename from tests/record/record_return_cthread.sv rename to designs/tests/record/record_return_cthread.sv diff --git a/tests/record/record_return_cthread1.sv b/designs/tests/record/record_return_cthread1.sv similarity index 100% rename from tests/record/record_return_cthread1.sv rename to designs/tests/record/record_return_cthread1.sv diff --git a/tests/record/record_return_cthread2.sv b/designs/tests/record/record_return_cthread2.sv similarity index 100% rename from tests/record/record_return_cthread2.sv rename to designs/tests/record/record_return_cthread2.sv diff --git a/tests/record/record_return_cthread3.sv b/designs/tests/record/record_return_cthread3.sv similarity index 100% rename from tests/record/record_return_cthread3.sv rename to designs/tests/record/record_return_cthread3.sv diff --git a/tests/record/record_return_method.sv b/designs/tests/record/record_return_method.sv similarity index 100% rename from tests/record/record_return_method.sv rename to designs/tests/record/record_return_method.sv diff --git a/tests/record/record_return_static_func_cthread.sv b/designs/tests/record/record_return_static_func_cthread.sv similarity index 100% rename from tests/record/record_return_static_func_cthread.sv rename to designs/tests/record/record_return_static_func_cthread.sv diff --git a/tests/record/record_simple_method.sv b/designs/tests/record/record_simple_method.sv similarity index 100% rename from tests/record/record_simple_method.sv rename to designs/tests/record/record_simple_method.sv diff --git a/tests/record/record_simple_method3.sv b/designs/tests/record/record_simple_method3.sv similarity index 100% rename from tests/record/record_simple_method3.sv rename to designs/tests/record/record_simple_method3.sv diff --git a/tests/record/record_wait_call.sv b/designs/tests/record/record_wait_call.sv similarity index 100% rename from tests/record/record_wait_call.sv rename to designs/tests/record/record_wait_call.sv diff --git a/tests/record/test_array_cthread.cpp b/designs/tests/record/test_array_cthread.cpp similarity index 100% rename from tests/record/test_array_cthread.cpp rename to designs/tests/record/test_array_cthread.cpp diff --git a/tests/record/test_array_decl_in_scope.cpp b/designs/tests/record/test_array_decl_in_scope.cpp similarity index 100% rename from tests/record/test_array_decl_in_scope.cpp rename to designs/tests/record/test_array_decl_in_scope.cpp diff --git a/tests/record/test_array_fcall_method.cpp b/designs/tests/record/test_array_fcall_method.cpp similarity index 100% rename from tests/record/test_array_fcall_method.cpp rename to designs/tests/record/test_array_fcall_method.cpp diff --git a/tests/record/test_array_if_method.cpp b/designs/tests/record/test_array_if_method.cpp similarity index 100% rename from tests/record/test_array_if_method.cpp rename to designs/tests/record/test_array_if_method.cpp diff --git a/tests/record/test_array_loops_method.cpp b/designs/tests/record/test_array_loops_method.cpp similarity index 100% rename from tests/record/test_array_loops_method.cpp rename to designs/tests/record/test_array_loops_method.cpp diff --git a/tests/record/test_array_method.cpp b/designs/tests/record/test_array_method.cpp similarity index 100% rename from tests/record/test_array_method.cpp rename to designs/tests/record/test_array_method.cpp diff --git a/tests/record/test_array_method2.cpp b/designs/tests/record/test_array_method2.cpp similarity index 100% rename from tests/record/test_array_method2.cpp rename to designs/tests/record/test_array_method2.cpp diff --git a/tests/record/test_array_unknown_cthread.cpp b/designs/tests/record/test_array_unknown_cthread.cpp similarity index 100% rename from tests/record/test_array_unknown_cthread.cpp rename to designs/tests/record/test_array_unknown_cthread.cpp diff --git a/tests/record/test_array_unknown_method.cpp b/designs/tests/record/test_array_unknown_method.cpp similarity index 100% rename from tests/record/test_array_unknown_method.cpp rename to designs/tests/record/test_array_unknown_method.cpp diff --git a/tests/record/test_array_var_indices_method.cpp b/designs/tests/record/test_array_var_indices_method.cpp similarity index 100% rename from tests/record/test_array_var_indices_method.cpp rename to designs/tests/record/test_array_var_indices_method.cpp diff --git a/tests/record/test_assign_concat_cthread.cpp b/designs/tests/record/test_assign_concat_cthread.cpp similarity index 100% rename from tests/record/test_assign_concat_cthread.cpp rename to designs/tests/record/test_assign_concat_cthread.cpp diff --git a/tests/record/test_assign_concat_method.cpp b/designs/tests/record/test_assign_concat_method.cpp similarity index 100% rename from tests/record/test_assign_concat_method.cpp rename to designs/tests/record/test_assign_concat_method.cpp diff --git a/tests/record/test_base_multi_record.cpp b/designs/tests/record/test_base_multi_record.cpp similarity index 100% rename from tests/record/test_base_multi_record.cpp rename to designs/tests/record/test_base_multi_record.cpp diff --git a/tests/record/test_base_record0.cpp b/designs/tests/record/test_base_record0.cpp similarity index 100% rename from tests/record/test_base_record0.cpp rename to designs/tests/record/test_base_record0.cpp diff --git a/tests/record/test_base_record1.cpp b/designs/tests/record/test_base_record1.cpp similarity index 100% rename from tests/record/test_base_record1.cpp rename to designs/tests/record/test_base_record1.cpp diff --git a/tests/record/test_base_record2.cpp b/designs/tests/record/test_base_record2.cpp similarity index 100% rename from tests/record/test_base_record2.cpp rename to designs/tests/record/test_base_record2.cpp diff --git a/tests/record/test_chan_type.cpp b/designs/tests/record/test_chan_type.cpp similarity index 100% rename from tests/record/test_chan_type.cpp rename to designs/tests/record/test_chan_type.cpp diff --git a/tests/record/test_complex_method.cpp b/designs/tests/record/test_complex_method.cpp similarity index 100% rename from tests/record/test_complex_method.cpp rename to designs/tests/record/test_complex_method.cpp diff --git a/tests/record/test_fcall_cthread.cpp b/designs/tests/record/test_fcall_cthread.cpp similarity index 100% rename from tests/record/test_fcall_cthread.cpp rename to designs/tests/record/test_fcall_cthread.cpp diff --git a/tests/record/test_fcall_glob_cthread.cpp b/designs/tests/record/test_fcall_glob_cthread.cpp similarity index 100% rename from tests/record/test_fcall_glob_cthread.cpp rename to designs/tests/record/test_fcall_glob_cthread.cpp diff --git a/tests/record/test_func_in_ctor.cpp b/designs/tests/record/test_func_in_ctor.cpp similarity index 100% rename from tests/record/test_func_in_ctor.cpp rename to designs/tests/record/test_func_in_ctor.cpp diff --git a/tests/record/test_func_param_cthread.cpp b/designs/tests/record/test_func_param_cthread.cpp similarity index 100% rename from tests/record/test_func_param_cthread.cpp rename to designs/tests/record/test_func_param_cthread.cpp diff --git a/tests/record/test_func_param_method.cpp b/designs/tests/record/test_func_param_method.cpp similarity index 100% rename from tests/record/test_func_param_method.cpp rename to designs/tests/record/test_func_param_method.cpp diff --git a/tests/record/test_if_method.cpp b/designs/tests/record/test_if_method.cpp similarity index 100% rename from tests/record/test_if_method.cpp rename to designs/tests/record/test_if_method.cpp diff --git a/tests/record/test_member_array_copy.cpp b/designs/tests/record/test_member_array_copy.cpp similarity index 100% rename from tests/record/test_member_array_copy.cpp rename to designs/tests/record/test_member_array_copy.cpp diff --git a/tests/record/test_member_array_cthread.cpp b/designs/tests/record/test_member_array_cthread.cpp similarity index 100% rename from tests/record/test_member_array_cthread.cpp rename to designs/tests/record/test_member_array_cthread.cpp diff --git a/tests/record/test_member_array_method1.cpp b/designs/tests/record/test_member_array_method1.cpp similarity index 100% rename from tests/record/test_member_array_method1.cpp rename to designs/tests/record/test_member_array_method1.cpp diff --git a/tests/record/test_member_array_method2.cpp b/designs/tests/record/test_member_array_method2.cpp similarity index 100% rename from tests/record/test_member_array_method2.cpp rename to designs/tests/record/test_member_array_method2.cpp diff --git a/tests/record/test_member_array_method3.cpp b/designs/tests/record/test_member_array_method3.cpp similarity index 100% rename from tests/record/test_member_array_method3.cpp rename to designs/tests/record/test_member_array_method3.cpp diff --git a/tests/record/test_member_array_unkwn.cpp b/designs/tests/record/test_member_array_unkwn.cpp similarity index 100% rename from tests/record/test_member_array_unkwn.cpp rename to designs/tests/record/test_member_array_unkwn.cpp diff --git a/tests/record/test_member_func_cthread.cpp b/designs/tests/record/test_member_func_cthread.cpp similarity index 100% rename from tests/record/test_member_func_cthread.cpp rename to designs/tests/record/test_member_func_cthread.cpp diff --git a/tests/record/test_member_func_method.cpp b/designs/tests/record/test_member_func_method.cpp similarity index 100% rename from tests/record/test_member_func_method.cpp rename to designs/tests/record/test_member_func_method.cpp diff --git a/tests/record/test_member_record1.cpp b/designs/tests/record/test_member_record1.cpp similarity index 100% rename from tests/record/test_member_record1.cpp rename to designs/tests/record/test_member_record1.cpp diff --git a/tests/record/test_member_record_func.cpp b/designs/tests/record/test_member_record_func.cpp similarity index 100% rename from tests/record/test_member_record_func.cpp rename to designs/tests/record/test_member_record_func.cpp diff --git a/tests/record/test_ptr_arr_cthread.cpp b/designs/tests/record/test_ptr_arr_cthread.cpp similarity index 100% rename from tests/record/test_ptr_arr_cthread.cpp rename to designs/tests/record/test_ptr_arr_cthread.cpp diff --git a/tests/record/test_ptr_arr_method.cpp b/designs/tests/record/test_ptr_arr_method.cpp similarity index 100% rename from tests/record/test_ptr_arr_method.cpp rename to designs/tests/record/test_ptr_arr_method.cpp diff --git a/tests/record/test_read_defined.cpp b/designs/tests/record/test_read_defined.cpp similarity index 100% rename from tests/record/test_read_defined.cpp rename to designs/tests/record/test_read_defined.cpp diff --git a/tests/record/test_reg_arr_cthread.cpp b/designs/tests/record/test_reg_arr_cthread.cpp similarity index 100% rename from tests/record/test_reg_arr_cthread.cpp rename to designs/tests/record/test_reg_arr_cthread.cpp diff --git a/tests/record/test_reg_cthread0.cpp b/designs/tests/record/test_reg_cthread0.cpp similarity index 100% rename from tests/record/test_reg_cthread0.cpp rename to designs/tests/record/test_reg_cthread0.cpp diff --git a/tests/record/test_reg_cthread1.cpp b/designs/tests/record/test_reg_cthread1.cpp similarity index 100% rename from tests/record/test_reg_cthread1.cpp rename to designs/tests/record/test_reg_cthread1.cpp diff --git a/tests/record/test_reg_func_cthread1.cpp b/designs/tests/record/test_reg_func_cthread1.cpp similarity index 100% rename from tests/record/test_reg_func_cthread1.cpp rename to designs/tests/record/test_reg_func_cthread1.cpp diff --git a/tests/record/test_reg_func_cthread2.cpp b/designs/tests/record/test_reg_func_cthread2.cpp similarity index 100% rename from tests/record/test_reg_func_cthread2.cpp rename to designs/tests/record/test_reg_func_cthread2.cpp diff --git a/tests/record/test_return_assert_method.cpp b/designs/tests/record/test_return_assert_method.cpp similarity index 100% rename from tests/record/test_return_assert_method.cpp rename to designs/tests/record/test_return_assert_method.cpp diff --git a/tests/record/test_return_cthread.cpp b/designs/tests/record/test_return_cthread.cpp similarity index 100% rename from tests/record/test_return_cthread.cpp rename to designs/tests/record/test_return_cthread.cpp diff --git a/tests/record/test_return_cthread1.cpp b/designs/tests/record/test_return_cthread1.cpp similarity index 100% rename from tests/record/test_return_cthread1.cpp rename to designs/tests/record/test_return_cthread1.cpp diff --git a/tests/record/test_return_cthread2.cpp b/designs/tests/record/test_return_cthread2.cpp similarity index 100% rename from tests/record/test_return_cthread2.cpp rename to designs/tests/record/test_return_cthread2.cpp diff --git a/tests/record/test_return_cthread3.cpp b/designs/tests/record/test_return_cthread3.cpp similarity index 100% rename from tests/record/test_return_cthread3.cpp rename to designs/tests/record/test_return_cthread3.cpp diff --git a/tests/record/test_return_method.cpp b/designs/tests/record/test_return_method.cpp similarity index 100% rename from tests/record/test_return_method.cpp rename to designs/tests/record/test_return_method.cpp diff --git a/tests/record/test_return_static_func_cthread.cpp b/designs/tests/record/test_return_static_func_cthread.cpp similarity index 100% rename from tests/record/test_return_static_func_cthread.cpp rename to designs/tests/record/test_return_static_func_cthread.cpp diff --git a/tests/record/test_simple_cthread.cpp b/designs/tests/record/test_simple_cthread.cpp similarity index 100% rename from tests/record/test_simple_cthread.cpp rename to designs/tests/record/test_simple_cthread.cpp diff --git a/tests/record/test_simple_method.cpp b/designs/tests/record/test_simple_method.cpp similarity index 100% rename from tests/record/test_simple_method.cpp rename to designs/tests/record/test_simple_method.cpp diff --git a/tests/record/test_simple_method3.cpp b/designs/tests/record/test_simple_method3.cpp similarity index 100% rename from tests/record/test_simple_method3.cpp rename to designs/tests/record/test_simple_method3.cpp diff --git a/tests/record/test_wait_call.cpp b/designs/tests/record/test_wait_call.cpp similarity index 100% rename from tests/record/test_wait_call.cpp rename to designs/tests/record/test_wait_call.cpp diff --git a/tests/state/CMakeLists.txt b/designs/tests/state/CMakeLists.txt similarity index 100% rename from tests/state/CMakeLists.txt rename to designs/tests/state/CMakeLists.txt diff --git a/tests/state/test_method_basic.cpp b/designs/tests/state/test_method_basic.cpp similarity index 100% rename from tests/state/test_method_basic.cpp rename to designs/tests/state/test_method_basic.cpp diff --git a/tests/state/test_state_array_el_ptr.cpp b/designs/tests/state/test_state_array_el_ptr.cpp similarity index 100% rename from tests/state/test_state_array_el_ptr.cpp rename to designs/tests/state/test_state_array_el_ptr.cpp diff --git a/tests/state/test_state_array_int.cpp b/designs/tests/state/test_state_array_int.cpp similarity index 100% rename from tests/state/test_state_array_int.cpp rename to designs/tests/state/test_state_array_int.cpp diff --git a/tests/state/test_state_bases.cpp b/designs/tests/state/test_state_bases.cpp similarity index 100% rename from tests/state/test_state_bases.cpp rename to designs/tests/state/test_state_bases.cpp diff --git a/tests/state/test_state_dyn_sig.cpp b/designs/tests/state/test_state_dyn_sig.cpp similarity index 100% rename from tests/state/test_state_dyn_sig.cpp rename to designs/tests/state/test_state_dyn_sig.cpp diff --git a/tests/state/test_state_dynalloc.cpp b/designs/tests/state/test_state_dynalloc.cpp similarity index 100% rename from tests/state/test_state_dynalloc.cpp rename to designs/tests/state/test_state_dynalloc.cpp diff --git a/tests/state/test_state_init.cpp b/designs/tests/state/test_state_init.cpp similarity index 100% rename from tests/state/test_state_init.cpp rename to designs/tests/state/test_state_init.cpp diff --git a/tests/state/test_state_mdim_array.cpp b/designs/tests/state/test_state_mdim_array.cpp similarity index 100% rename from tests/state/test_state_mdim_array.cpp rename to designs/tests/state/test_state_mdim_array.cpp diff --git a/tests/state/test_state_pointers.cpp b/designs/tests/state/test_state_pointers.cpp similarity index 100% rename from tests/state/test_state_pointers.cpp rename to designs/tests/state/test_state_pointers.cpp diff --git a/tests/state/test_state_sc_vector.cpp b/designs/tests/state/test_state_sc_vector.cpp similarity index 100% rename from tests/state/test_state_sc_vector.cpp rename to designs/tests/state/test_state_sc_vector.cpp diff --git a/tests/state/test_state_static_const.cpp b/designs/tests/state/test_state_static_const.cpp similarity index 100% rename from tests/state/test_state_static_const.cpp rename to designs/tests/state/test_state_static_const.cpp diff --git a/tests/state/test_state_struct_member.cpp b/designs/tests/state/test_state_struct_member.cpp similarity index 100% rename from tests/state/test_state_struct_member.cpp rename to designs/tests/state/test_state_struct_member.cpp diff --git a/tests/uniquify/CMakeLists.txt b/designs/tests/uniquify/CMakeLists.txt similarity index 100% rename from tests/uniquify/CMakeLists.txt rename to designs/tests/uniquify/CMakeLists.txt diff --git a/tests/uniquify/test_uniquify_basic.cpp b/designs/tests/uniquify/test_uniquify_basic.cpp similarity index 100% rename from tests/uniquify/test_uniquify_basic.cpp rename to designs/tests/uniquify/test_uniquify_basic.cpp diff --git a/tests/uniquify/test_uniquify_basic.sv b/designs/tests/uniquify/test_uniquify_basic.sv similarity index 100% rename from tests/uniquify/test_uniquify_basic.sv rename to designs/tests/uniquify/test_uniquify_basic.sv diff --git a/tests/uniquify/test_uniquify_cross_bind.cpp b/designs/tests/uniquify/test_uniquify_cross_bind.cpp similarity index 100% rename from tests/uniquify/test_uniquify_cross_bind.cpp rename to designs/tests/uniquify/test_uniquify_cross_bind.cpp diff --git a/tests/uniquify/test_uniquify_cross_bind.sv b/designs/tests/uniquify/test_uniquify_cross_bind.sv similarity index 100% rename from tests/uniquify/test_uniquify_cross_bind.sv rename to designs/tests/uniquify/test_uniquify_cross_bind.sv diff --git a/tests/uniquify/test_uniquify_proc.cpp b/designs/tests/uniquify/test_uniquify_proc.cpp similarity index 100% rename from tests/uniquify/test_uniquify_proc.cpp rename to designs/tests/uniquify/test_uniquify_proc.cpp diff --git a/tests/uniquify/test_uniquify_proc.sv b/designs/tests/uniquify/test_uniquify_proc.sv similarity index 100% rename from tests/uniquify/test_uniquify_proc.sv rename to designs/tests/uniquify/test_uniquify_proc.sv diff --git a/tests/CMakeLists.txt b/tests/CMakeLists.txt deleted file mode 100644 index a3afda99..00000000 --- a/tests/CMakeLists.txt +++ /dev/null @@ -1,9 +0,0 @@ -add_subdirectory(const_prop) -add_subdirectory(cthread) -add_subdirectory(method) -add_subdirectory(mif) -add_subdirectory(misc) -add_subdirectory(record) -add_subdirectory(state) -add_subdirectory(uniquify) - From 12cb42bb7ebd10c6748edd4a1bc52b290cc9bdf8 Mon Sep 17 00:00:00 2001 From: "Azarenkov, Leonid" Date: Mon, 28 Nov 2022 12:42:29 -0800 Subject: [PATCH 3/4] update install.sh/CMake: fix issues, don't re-download/re-build llvm/clang, build/install both debug&release SystemC libraries; include gdb pretty-printers for SystemC --- .gitignore | 3 + CMakeLists.txt | 30 +-- README.md | 2 +- cmake/CMakeLists.txt | 25 -- cmake/README | 37 --- gdb/gdbinit-example.txt | 21 ++ gdb/sysc23x_printers/sysc23x_printers.py | 312 +++++++++++++++++++++++ install.sh | 62 +++-- 8 files changed, 391 insertions(+), 101 deletions(-) delete mode 100644 cmake/CMakeLists.txt delete mode 100644 cmake/README create mode 100644 gdb/gdbinit-example.txt create mode 100644 gdb/sysc23x_printers/sysc23x_printers.py diff --git a/.gitignore b/.gitignore index f17dd96f..51c2ef2a 100644 --- a/.gitignore +++ b/.gitignore @@ -81,3 +81,6 @@ dkms.conf # Misc sc_tool/arc +build/ +build_*/ + diff --git a/CMakeLists.txt b/CMakeLists.txt index 9acf4a93..2a9a94d7 100644 --- a/CMakeLists.txt +++ b/CMakeLists.txt @@ -51,7 +51,7 @@ add_custom_command(OUTPUT ${SYSTEMC_PCH} COMMAND ${CLANG_CXX_EXECUTABLE} -Xclang -emit-pch -x c++-header ${CMAKE_SOURCE_DIR}/systemc/src/systemc.h -o ${SYSTEMC_PCH} ${MSVC_FLAGS} - -D__SC_TOOL__ -D__SC_TOOL_ANALYZE__ -DNDEBUG -std=c++14 + -D__SC_TOOL__ -D__SC_TOOL_ANALYZE__ -DNDEBUG -std=c++17 -I${CMAKE_SOURCE_DIR}/systemc/src DEPENDS systemc COMMENT "Generating SystemC precompiled header ${SYSTEMC_PCH}" @@ -73,12 +73,12 @@ include(cmake/svc_target.cmake) enable_testing() # Compile tests and test designs -if( ${CMAKE_BUILD_TYPE} STREQUAL "Debug" ) - message (STATUS "Debug mode: add tests and test designs") - add_subdirectory(tests) - add_subdirectory(examples) - add_subdirectory(designs) -endif() +#if( ${CMAKE_BUILD_TYPE} STREQUAL "Debug" ) +# message (STATUS "Debug mode: add tests and test designs") +# add_subdirectory(tests) +# add_subdirectory(examples) +# add_subdirectory(designs) +#endif() ############################################################################### @@ -101,12 +101,10 @@ install(FILES ${PROJECT_BINARY_DIR}/SVCConfig.cmake cmake/svc_target.cmake # Install sctCommon install(DIRECTORY components/common/sctcommon DESTINATION include) -# Install release mode CMakeLists and scripts -if( ${CMAKE_BUILD_TYPE} STREQUAL "Release" ) - message (STATUS "Release mode: copy CMakeLists and scripts") - install(FILES cmake/CMakeLists.txt - cmake/setenv.sh - cmake/README - DESTINATION $ENV{ICSC_HOME}) - -endif() \ No newline at end of file +# Install setenv scripts +install(FILES cmake/setenv.sh gdb/gdbinit-example.txt + DESTINATION $ENV{ICSC_HOME}) + +# Install GDB pretty-printers +install(FILES gdb/sysc23x_printers/sysc23x_printers.py + DESTINATION $ENV{ICSC_HOME}/share/gdb/python/sysc23x_printers) diff --git a/README.md b/README.md index 49c0a285..559ec7af 100644 --- a/README.md +++ b/README.md @@ -18,7 +18,7 @@ ICSC is distributed under the [Apache License v2.0 with LLVM Exceptions](https:/ ## Getting started -ICSC is based on Clang/LLVM frontend and can be installed at most Linux OS. There is ```install.sh``` script that downloads and builds ICSC and the required dependecies at **Ubuntu 20.04**. +ICSC is based on Clang/LLVM frontend and can be installed at most Linux OS. There is ```install.sh``` script that downloads and builds ICSC and the required dependencies at **Ubuntu 20.04**. An instruction how to install and run ISCS is given at [Getting started](https://github.com/intel/systemc-compiler/wiki/Getting-started). diff --git a/cmake/CMakeLists.txt b/cmake/CMakeLists.txt deleted file mode 100644 index 2b72aff7..00000000 --- a/cmake/CMakeLists.txt +++ /dev/null @@ -1,25 +0,0 @@ -#****************************************************************************** -# Copyright (c) 2020, Intel Corporation. All rights reserved. -# -# SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception. -# -# ***************************************************************************** -# -# Intel(r) Compiler for SystemC*, version 1.3.7 -# -# ***************************************************************************** - -cmake_minimum_required(VERSION 3.12) - -## C++ standard -set(CMAKE_CXX_STANDARD 17) - -enable_testing() - -## SVC package contains ICSC and SystemC libraries -find_package(SVC REQUIRED) - -## Add examples, tests and user designs -add_subdirectory(icsc/examples) -add_subdirectory(icsc/tests) -add_subdirectory(icsc/designs) \ No newline at end of file diff --git a/cmake/README b/cmake/README deleted file mode 100644 index 44458809..00000000 --- a/cmake/README +++ /dev/null @@ -1,37 +0,0 @@ -#****************************************************************************** -# Copyright (c) 2020, Intel Corporation. All rights reserved. -# -# SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception. -# -# ***************************************************************************** -# -# Intel(r) Compiler for SystemC*, version 1.3.7 -# -# ***************************************************************************** - -------------------------------------------------------------------------------- -1. Build and run examples, tests and designs -------------------------------------------------------------------------------- - -* Run bash shell -$ bash - -* Setup ICSC environment -$ source setenv.sh - -* Build sources -$ mkdir build && cd build -$ cmake ../ -$ make -j8 - -* Run SystemVerilog generation -$ ctest -j8 - -* Check the generated SystemVerilog in sv_out folder -$cd examples/counter/sv_out -$cat counter.sv - -* Run SystemC simulation for an example -$ cd examples/counter -$ ./counter - diff --git a/gdb/gdbinit-example.txt b/gdb/gdbinit-example.txt new file mode 100644 index 00000000..977152ee --- /dev/null +++ b/gdb/gdbinit-example.txt @@ -0,0 +1,21 @@ +# To enable pretty-printing for SystemC/SingleSource data types +# copy/move gdbinit-example.txt to ~/.gdbinit + +python +import sys, os +libstdcxx_printers_path = '/usr/intel/pkgs/gcc/10.1.0/share/gcc-10.1.0/python/' +sysc23x_printers_path = '$ICSC_HOME/share/gdb/python/sysc23x_printers/' +libstdcxx_printers_path = os.path.expandvars(libstdcxx_printers_path) +sysc23x_printers_path = os.path.expandvars(sysc23x_printers_path) +sys.path.insert(0, libstdcxx_printers_path) +sys.path.insert(0, sysc23x_printers_path) +from libstdcxx.v6.printers import register_libstdcxx_printers +register_libstdcxx_printers (None) +from sysc23x_printers import register_sysc23x_printers +register_sysc23x_printers (None) +end + +# If you are debugging systemc, the following idioms break in the debugger +# when you hit an error +#break sc_core::sc_report_handler::report if (severity >= sc_core::SC_ERROR) +#break sc_core::sc_report_handler::report diff --git a/gdb/sysc23x_printers/sysc23x_printers.py b/gdb/sysc23x_printers/sysc23x_printers.py new file mode 100644 index 00000000..8a529d4d --- /dev/null +++ b/gdb/sysc23x_printers/sysc23x_printers.py @@ -0,0 +1,312 @@ +#!/usr/bin/python3 + +#****************************************************************************** +# Copyright (c) 2022, Intel Corporation. All rights reserved. +# +# SPDX-License-Identifier: Apache-2.0 WITH LLVM-exception. +# +# ***************************************************************************** +# +import gdb + + +# Enable hex output format for sc_int/sc_uint +ScIntHexOut = 1 + +# Enable hex output format for sc_bigint/sc_biguint +ScBigIntHexOut = 1 + +# Enable hex output format for sc_bv/sc_lv +ScBvLvHexOut = 1 + + +# Convert int val to string, for bool return 1/0 +def striv(val): + strv = str(val) + if strv == "true": + return "1" + elif strv == "false": + return "0" + else: + return strv + + +class ScSignal: + """Print a sc_signal<> object.""" + + def __init__(self, val): + self.val = val + + def to_string(self): + iface = self.val + curv = striv(iface.cast(iface.dynamic_type)["m_cur_val"]) + newv = striv(iface.cast(iface.dynamic_type)["m_new_val"]) + return newv if (curv == newv) else ("%s -> %s" % (curv, newv)) + + def display_hint(self): + return "sc_signal" + + +class ScSigPort: + """Print a sc_in<>/sc_out<>/sc_inout<> object.""" + + def __init__(self, val): + self.val = val + + def to_string(self): + iface = self.val["m_interface"] + return str(iface.cast(iface.dynamic_type).dereference()) + + def display_hint(self): + return "sc_port" + + +class ScInt_ScUInt: + """Print a sc_int<>/sc_uint<> object.""" + + def __init__(self, val): + self.val = val + + def to_string(self): + if ScIntHexOut: + return hex(self.val["m_val"]).upper().replace('X', 'x') + else: + return str(self.val["m_val"]) + + def display_hint(self): + return "sc_int" + + +class ScBigInt_ScBigUInt: + """Print a sc_bigint<>/sc_biguint<> object.""" + + def __init__(self, val): + self.val = val + + def to_string(self): + BITS_PER_DIGIT = 30 + digmask = int((1 << BITS_PER_DIGIT) - 1) + ndigits = self.val["ndigits"] + sgn = int(self.val["sgn"]) + nbits = int(self.val["nbits"]) + + intval = 0 + for i in reversed(range(0, ndigits)): + digit = int(self.val["digit"][i]) + intval = (intval << BITS_PER_DIGIT) + (digmask & digit) + + if sgn == -1: + intval = -intval + + if ScBigIntHexOut: + return hex(intval).upper().replace('X', 'x') + else: + return str(intval) + + def display_hint(self): + return "sc_bigint" + + +class ScBit: + """Print a sc_bit object.""" + + def __init__(self, val): + self.val = val + + def to_string(self): + return "1" if str(self.val["m_val"]) == "true" else "0" + + def display_hint(self): + return "sc_bit" + + +class ScBv: + """Print a sc_bv<> object.""" + + def __init__(self, val): + self.val = val + + def to_string(self): + SC_DIGIT_SIZE = 32 + HBits = 4 + length = int(self.val["m_len"]) + size = int(self.val["m_size"]) + + intval = 0 + for i in reversed(range(0, size)): + digit = int(self.val["m_data"][i]) + intval = (intval << SC_DIGIT_SIZE) + digit + + if ScBvLvHexOut: + hexstr = hex(intval)[2:].upper() + padlen = int((length + HBits - 1) / HBits) + hexstr = "0x" + hexstr.zfill(padlen) + return hexstr + else: + binstr = "0b" + bin(intval)[2:].zfill(length) + return binstr + + def display_hint(self): + return "sc_bv" + + +# convert logic value to string +def strlv(val): + if val == 0: + return "0" + elif val == 1: + return "1" + elif val == 2: + return "Z" + elif val == 3: + return "X" + else: + return "U" + + +class ScLogic: + """Print a sc_logic object.""" + + def __init__(self, val): + self.val = val + + def to_string(self): + return strlv(int(self.val["m_val"])) + + def display_hint(self): + return "sc_logic" + + +class ScLV: + """Print a sc_lv<> object.""" + + def __init__(self, val): + self.val = val + + def lvstr_to_hex(self, lvstr): + hexstr = "0x" + HBits = 4 + padlen = int((len(lvstr) + HBits - 1) / HBits) * HBits + lvstr = lvstr.zfill(padlen) + digits = [lvstr[i:i + HBits] for i in range(0, len(lvstr), HBits)] + for dig in digits: + if dig == "ZZZZ": + hexstr += "Z" + else: + try: + hexstr += hex(int(dig, 2))[2:].upper() + except ValueError: + hexstr += "X" + return hexstr + + def to_string(self): + SC_DIGIT_SIZE = 32 + lvstr = "" + length = int(self.val["m_len"]) + size = int(self.val["m_size"]) + lst_digsz = length % SC_DIGIT_SIZE + if lst_digsz == 0: + lst_digsz = SC_DIGIT_SIZE + + for i in reversed(range(0, size)): + data = int(self.val["m_data"][i]) + ctrl = int(self.val["m_ctrl"][i]) + + digsz = lst_digsz if (i == size - 1) else SC_DIGIT_SIZE + + for j in reversed(range(0, digsz)): + logic = 0 + val = 1 << j + if (val & data) == val: + logic |= 1 + if (val & ctrl) == val: + logic |= 2 + lv = strlv(logic) + lvstr += lv + + if ScBvLvHexOut: + return self.lvstr_to_hex(lvstr) + else: + return "0b" + lvstr + + def display_hint(self): + return "sc_lv" + + +class ScVector: + """Print a sc_vector<> object.""" + + class _iterator: + def __init__(self, start, finish, itype): + self.item = start + self.finish = finish + self.count = 0 + self.itype = itype + self.vptr_type = gdb.lookup_type("void").pointer() + + def __iter__(self): + return self + + def __next__(self): + count = self.count + self.count = self.count + 1 + if self.item == self.finish: + raise StopIteration + try: + pelt = self.item.dereference().cast(self.vptr_type) + elt = pelt.cast(self.itype.pointer()).dereference() + except Exception: + elt = "X" + self.item = self.item + 1 + return ("[%d]" % count, elt) + + def __init__(self, val): + itype = val.type.template_argument(0) + self.typename = str(itype) + self.itype = itype + self.val = val + + def children(self): + ovec = self.val["vec_"] + return self._iterator(ovec["_M_impl"]["_M_start"], + ovec["_M_impl"]["_M_finish"], + self.itype) + + def to_string(self): + ovec = self.val["vec_"] + start = ovec["_M_impl"]["_M_start"] + finish = ovec["_M_impl"]["_M_finish"] + return ("%s of length %d" + % (self.typename, int(finish - start))) + + def display_hint(self): + return "array" + + +def build_pretty_printer(): + sysc23x_pp = gdb.printing.RegexpCollectionPrettyPrinter("SysC-23X") + + sysc23x_pp.add_printer("sc_bit", "^sc_dt::sc_bit$", ScBit) + sysc23x_pp.add_printer("sc_bv", "^sc_dt::sc_bv<(.*)>$", ScBv) + sysc23x_pp.add_printer("sc_logic", "^sc_dt::sc_logic$", ScLogic) + sysc23x_pp.add_printer("sc_lv", "^sc_dt::sc_lv<(.*)>$", ScLV) + sysc23x_pp.add_printer("sc_int", "^sc_dt::sc_int<(.*)>$", ScInt_ScUInt) + sysc23x_pp.add_printer("sc_uint", "^sc_dt::sc_uint<(.*)>$", ScInt_ScUInt) + sysc23x_pp.add_printer("sc_bigint", "^sc_dt::sc_bigint<(.*)>$", ScBigInt_ScBigUInt) + sysc23x_pp.add_printer("sc_biguint", "^sc_dt::sc_biguint<(.*)>$", ScBigInt_ScBigUInt) + sysc23x_pp.add_printer("sc_signed", "^sc_dt::sc_signed$", ScBigInt_ScBigUInt) + sysc23x_pp.add_printer("sc_unsigned", "^sc_dt::sc_unsigned$", ScBigInt_ScBigUInt) + sysc23x_pp.add_printer("sc_vector", "^sc_core::sc_vector<(.*)>$", ScVector) + sysc23x_pp.add_printer("sc_signal", "^sc_core::sc_signal<(.*)>$", ScSignal) + sysc23x_pp.add_printer("sc_in", "^sc_core::sc_in<(.*)>$", ScSigPort) + sysc23x_pp.add_printer("sc_out", "^sc_core::sc_out<(.*)>$", ScSigPort) + sysc23x_pp.add_printer("sc_inout", "^sc_core::sc_inout<(.*)>$", ScSigPort) + + return sysc23x_pp + + +def register_sysc23x_printers(val): + gdb.printing.register_pretty_printer( + gdb.current_objfile(), + build_pretty_printer() + ) diff --git a/install.sh b/install.sh index e3fd190c..4082fdd2 100755 --- a/install.sh +++ b/install.sh @@ -1,16 +1,17 @@ #!/bin/bash -e -#export ICSC_HOME=`realpath .` -echo "Setting ICSC_HOME = $ICSC_HOME" +test -z $ICSC_HOME && { echo "ICSC_HOME is not configured"; exit 1; } +echo "Using ICSC_HOME = $ICSC_HOME" -# Clone ISCC -#git clone https://github.com/intel/systemc-compiler $ICSC_HOME/icsc -cd $ICSC_HOME +export CWD_DIR="$( cd "$( dirname "${BASH_SOURCE[0]}" )" >/dev/null 2>&1 && pwd )" +export CMAKE_PREFIX_PATH=$ICSC_HOME:$CMAKE_PREFIX_PATH + +echo "Downloading and building Protobuf/LLVM at $CWD_DIR/build_deps..." +mkdir build_deps -p && cd build_deps # Download, unpack, build, install Protobuf 3.13 -##wget https://github.com/protocolbuffers/protobuf/releases/download/v3.13.0/protoc-3.13.0-linux-x86_64.zip --no-check-certificate -wget https://github.com/protocolbuffers/protobuf/archive/v3.13.0.tar.gz --no-check-certificate -tar -xvf v3.13.0.tar.gz +wget -N https://github.com/protocolbuffers/protobuf/archive/v3.13.0.tar.gz --no-check-certificate +tar -xf v3.13.0.tar.gz --skip-old-files ( cd protobuf-3.13.0 mkdir build -p && cd build @@ -18,14 +19,13 @@ tar -xvf v3.13.0.tar.gz make -j12 make install ) -cd $ICSC_HOME # Download, unpack, build, install Clang and LLVM -wget https://github.com/llvm/llvm-project/releases/download/llvmorg-12.0.1/clang-12.0.1.src.tar.xz --no-check-certificate -wget https://github.com/llvm/llvm-project/releases/download/llvmorg-12.0.1/llvm-12.0.1.src.tar.xz --no-check-certificate -tar -xvf clang-12.0.1.src.tar.xz -tar -xvf llvm-12.0.1.src.tar.xz -mv clang-12.0.1.src llvm-12.0.1.src/tools/clang +wget -N https://github.com/llvm/llvm-project/releases/download/llvmorg-12.0.1/clang-12.0.1.src.tar.xz --no-check-certificate +wget -N https://github.com/llvm/llvm-project/releases/download/llvmorg-12.0.1/llvm-12.0.1.src.tar.xz --no-check-certificate +tar -xf clang-12.0.1.src.tar.xz --skip-old-files +tar -xf llvm-12.0.1.src.tar.xz --skip-old-files +ln -sf ../../clang-12.0.1.src llvm-12.0.1.src/tools/clang ( cd llvm-12.0.1.src mkdir build -p && cd build @@ -33,28 +33,46 @@ mv clang-12.0.1.src llvm-12.0.1.src/tools/clang make -j12 make install ) -cd $ICSC_HOME -export CMAKE_PREFIX_PATH=$ICSC_HOME:$CMAKE_PREFIX_PATH +# Download, unpack, build, install GDB with Python3 +#wget -N https://ftp.gnu.org/gnu/gdb/gdb-11.2.tar.gz --no-check-certificate +#tar -xf gdb-11.2.tar.gz --skip-old-files +#( +# cd gdb-11.2 +# ./configure --prefix="$ICSC_HOME" --with-python=/usr/bin/python3 +# make -j12 +# make install +#) + # Build and install ISCC +cd $CWD_DIR ( - cd icsc - mkdir build -p && cd build + mkdir build_icsc_rel -p && cd build_icsc_rel cmake ../ -DCMAKE_BUILD_TYPE=Release -DCMAKE_INSTALL_PREFIX=$ICSC_HOME make -j12 make install + + cd .. + + mkdir build_icsc_dbg -p && cd build_icsc_dbg + cmake ../ -DCMAKE_BUILD_TYPE=Debug -DCMAKE_INSTALL_PREFIX=$ICSC_HOME -DCMAKE_DEBUG_POSTFIX=d + make -j12 + make install ) -cd $ICSC_HOME +echo "*** ISCC Build and Installation Complete! ***" + # ################################################################################ # Build Tests using ISCC +echo "*** Build Examples ***" +cd $CWD_DIR ( source $ICSC_HOME/setenv.sh + cd designs/examples mkdir build -p && cd build - cmake ../ # prepare Makefiles - cd icsc/examples # build examples only, - # comment this line to build all tests + cmake ../ # prepare Makefiles + ctest -j12 # compile and run Verilog generation # use "-jN" key to run in "N" processes ) From 62bf7dd89e59c5fc74878278d622ea4efca5052c Mon Sep 17 00:00:00 2001 From: "Azarenkov, Leonid" Date: Mon, 28 Nov 2022 16:00:24 -0800 Subject: [PATCH 4/4] install.sh: add GCC_INSTALL_PREFIX and C++17 options, build only x86 target for llvm --- cmake/setenv.sh | 2 -- install.sh | 14 ++++++++------ 2 files changed, 8 insertions(+), 8 deletions(-) diff --git a/cmake/setenv.sh b/cmake/setenv.sh index 25205ed6..2f33c2b8 100644 --- a/cmake/setenv.sh +++ b/cmake/setenv.sh @@ -22,5 +22,3 @@ export PATH=$ICSC_HOME/bin:$ICSC_HOME/include:$PATH export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:$ICSC_HOME/lib64:$ICSC_HOME/lib export SYSTEMC_HOME=$ICSC_HOME - - diff --git a/install.sh b/install.sh index 4082fdd2..8596bbc4 100755 --- a/install.sh +++ b/install.sh @@ -5,6 +5,7 @@ echo "Using ICSC_HOME = $ICSC_HOME" export CWD_DIR="$( cd "$( dirname "${BASH_SOURCE[0]}" )" >/dev/null 2>&1 && pwd )" export CMAKE_PREFIX_PATH=$ICSC_HOME:$CMAKE_PREFIX_PATH +export GCC_INSTALL_PREFIX="$(realpath "$(dirname $(which g++))"/..)" echo "Downloading and building Protobuf/LLVM at $CWD_DIR/build_deps..." mkdir build_deps -p && cd build_deps @@ -15,7 +16,7 @@ tar -xf v3.13.0.tar.gz --skip-old-files ( cd protobuf-3.13.0 mkdir build -p && cd build - cmake ../cmake/ -DCMAKE_BUILD_TYPE=Release -DCMAKE_INSTALL_PREFIX=$ICSC_HOME -DBUILD_SHARED_LIBS=ON -Dprotobuf_BUILD_TESTS=OFF + cmake ../cmake/ -DCMAKE_BUILD_TYPE=Release -DCMAKE_INSTALL_PREFIX=$ICSC_HOME -DBUILD_SHARED_LIBS=ON -Dprotobuf_BUILD_TESTS=OFF -DCMAKE_CXX_STANDARD=17 make -j12 make install ) @@ -29,7 +30,7 @@ ln -sf ../../clang-12.0.1.src llvm-12.0.1.src/tools/clang ( cd llvm-12.0.1.src mkdir build -p && cd build - cmake ../ -DLLVM_ENABLE_ASSERTIONS=ON -DCMAKE_BUILD_TYPE=Release -DCMAKE_INSTALL_PREFIX=$ICSC_HOME + cmake ../ -DLLVM_ENABLE_ASSERTIONS=ON -DLLVM_TARGETS_TO_BUILD="X86" -DCMAKE_BUILD_TYPE=Release -DCMAKE_INSTALL_PREFIX=$ICSC_HOME -DGCC_INSTALL_PREFIX=$GCC_INSTALL_PREFIX -DCMAKE_CXX_STANDARD=17 make -j12 make install ) @@ -39,7 +40,7 @@ ln -sf ../../clang-12.0.1.src llvm-12.0.1.src/tools/clang #tar -xf gdb-11.2.tar.gz --skip-old-files #( # cd gdb-11.2 -# ./configure --prefix="$ICSC_HOME" --with-python=/usr/bin/python3 +# ./configure --prefix="$ICSC_HOME" --with-python="$(which python3)" # make -j12 # make install #) @@ -49,23 +50,24 @@ ln -sf ../../clang-12.0.1.src llvm-12.0.1.src/tools/clang cd $CWD_DIR ( mkdir build_icsc_rel -p && cd build_icsc_rel - cmake ../ -DCMAKE_BUILD_TYPE=Release -DCMAKE_INSTALL_PREFIX=$ICSC_HOME + cmake ../ -DCMAKE_BUILD_TYPE=Release -DCMAKE_INSTALL_PREFIX=$ICSC_HOME -DCMAKE_CXX_STANDARD=17 make -j12 make install cd .. mkdir build_icsc_dbg -p && cd build_icsc_dbg - cmake ../ -DCMAKE_BUILD_TYPE=Debug -DCMAKE_INSTALL_PREFIX=$ICSC_HOME -DCMAKE_DEBUG_POSTFIX=d + cmake ../ -DCMAKE_BUILD_TYPE=Debug -DCMAKE_INSTALL_PREFIX=$ICSC_HOME -DCMAKE_CXX_STANDARD=17 -DCMAKE_DEBUG_POSTFIX=d make -j12 make install ) + echo "*** ISCC Build and Installation Complete! ***" # ################################################################################ # Build Tests using ISCC -echo "*** Build Examples ***" +echo "*** Building Examples ***" cd $CWD_DIR ( source $ICSC_HOME/setenv.sh