diff --git a/.gitignore b/.gitignore
index 2903585..3bc3825 100644
--- a/.gitignore
+++ b/.gitignore
@@ -1,6 +1,8 @@
*.rbf_r
*.zip
dist/**/*.rev
+dist/**/*.bin
+src/**/*.bin
src/sim/work/
src/sim/*.hex
src/sim/*.mem
diff --git a/README.md b/README.md
index 2cc9391..fa2d035 100644
--- a/README.md
+++ b/README.md
@@ -15,11 +15,7 @@ To install the core, copy the `Assets`, `Cores`, and `Platform` folders over to
## Usage
-**NOTE:** ROM files must not contain a SMC header. If a ROM isn't loading and you think it should, check if it has a header with a tool like [Advanced SNES ROM Utility](https://www.romhacking.net/utilities/1638/) and remove it if so.
-
-ROMs should be placed in `/Assets/snes/common`
-
-PAL ROMs should boot, but there may be timing issues as the core currently doesn't properly support PAL (proper support coming soon).
+ROMs should be placed in `/Assets/snes/common`. Both headered and unheadered ROMs are now supported.
## Features
@@ -29,9 +25,11 @@ Core supports four players/controllers via the Analogue Dock. To enable four pla
### Expansion Chips
-The currently supported expansion chips are SA-1 (Super Mario RPG), Super FX (GSU-1/2; Star Fox), DSP (Super Mario Kart), and CX4 (Mega Man X 2). Additional chip support will come in the future once several new firmware features are released.
+All original expansion chips supported by MiSTer are also supported on the Pocket. The full list is: SA-1 (Super Mario RPG), Super FX/GSU-1/2 (Star Fox), DSP (Super Mario Kart), CX4 (Mega Man X 2), S-DD1 (Star Ocean), SPC7110 (Far East of Eden), ST1010 (F1 Roc 2), and BSX (Satellaview). The Super Game Boy, ST011 (Hayazashi Nidan Morita Shougi), and ST018 (Hayazashi Nidan Morita Shougi 2) are not supported in the MiSTer core, and therefore are not supported here. Additionally, the homebrew MSU expansion chip is not currently supported.
+
+#### BSX
-**NOTE:** The S-DD1 chip was dropped in release 0.2.0 due to sizing and popularity issues. Support will resume in a future release. In the meantime, you can [use this hack to remove the S-DD1 requirement](https://www.romhacking.net/hacks/614/).
+BSX ROMs must be patched to run without BIOS. The BSX BIOS is not currently supported
### Video Modes
diff --git a/dist/Cores/agg23.SNES/core.json b/dist/Cores/agg23.SNES/core.json
index d55d325..95949d1 100644
--- a/dist/Cores/agg23.SNES/core.json
+++ b/dist/Cores/agg23.SNES/core.json
@@ -7,8 +7,8 @@
"description": "Super Nintendo and Super Famicom. Nintendo's second major home console",
"author": "agg23",
"url": "https://github.com/agg23/openfpga-snes",
- "version": "0.3.2",
- "date_release": "2022-10-10"
+ "version": "0.4.0-pre",
+ "date_release": "2022-10-27"
},
"framework": {
"target_product": "Analogue Pocket",
@@ -21,13 +21,19 @@
"hardware": {
"link_port": false,
"cartridge_adapter": -1
- }
+ },
+ "chip32_vm": "loader.bin"
},
"cores": [
{
- "name": "default",
+ "name": "main",
"id": 0,
"filename": "snes_main.rev"
+ },
+ {
+ "name": "SPCSDD1",
+ "id": 1,
+ "filename": "snes_spc.rev"
}
]
}
diff --git a/dist/Cores/agg23.SNES/data.json b/dist/Cores/agg23.SNES/data.json
index 4bccf04..89df44c 100644
--- a/dist/Cores/agg23.SNES/data.json
+++ b/dist/Cores/agg23.SNES/data.json
@@ -3,11 +3,11 @@
"magic": "APF_VER_1",
"data_slots": [
{
- "name": "SMC",
+ "name": "Cartridge",
"id": 0,
"required": true,
"parameters": "0x109",
- "extensions": ["smc", "sfc"],
+ "extensions": ["smc", "sfc", "bs"],
"address": "0x10000000"
},
{
diff --git a/src/fpga/ap_core.qsf b/src/fpga/ap_core.qsf
index 909b932..c141246 100644
--- a/src/fpga/ap_core.qsf
+++ b/src/fpga/ap_core.qsf
@@ -778,5 +778,6 @@ set_global_assignment -name SDC_FILE core/core_constraints.sdc
set_global_assignment -name SIGNALTAP_FILE core/stp1.stp
set_global_assignment -name QIP_FILE core/mf_pllbase.qip
set_global_assignment -name SIP_FILE core/mf_pllbase.sip
+set_global_assignment -name NUM_PARALLEL_PROCESSORS 4
set_global_assignment -name SLD_FILE db/stp1_auto_stripped.stp
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
\ No newline at end of file
diff --git a/src/fpga/apf/build_id.mif b/src/fpga/apf/build_id.mif
index 866b9cf..ff9e2d7 100644
--- a/src/fpga/apf/build_id.mif
+++ b/src/fpga/apf/build_id.mif
@@ -9,8 +9,8 @@ DATA_RADIX = HEX;
CONTENT
BEGIN
- 0E0 : 20220928;
- 0E1 : 00121250;
- 0E2 : 7a851a82;
+ 0E0 : 20221027;
+ 0E1 : 00121620;
+ 0E2 : b9e8a56a;
END;
diff --git a/src/fpga/core/core_bridge_cmd.v b/src/fpga/core/core_bridge_cmd.v
index 75c4395..c315c15 100644
--- a/src/fpga/core/core_bridge_cmd.v
+++ b/src/fpga/core/core_bridge_cmd.v
@@ -45,6 +45,10 @@ input wire dataslot_requestwrite_ok,
output reg dataslot_allcomplete,
+output reg [31:0] rtc_seconds,
+output reg [31:0] rtc_date,
+output reg [31:0] rtc_time,
+
input wire savestate_supported,
input wire [31:0] savestate_addr,
input wire [31:0] savestate_size,
@@ -325,6 +329,13 @@ always @(posedge clk) begin
dataslot_allcomplete <= 1;
hstate <= ST_DONE_OK;
end
+ 16'h0090: begin
+ // RTC
+ rtc_seconds <= host_20;
+ rtc_date <= host_24;
+ rtc_time <= host_28;
+ hstate <= ST_DONE_OK;
+ end
16'h00A0: begin
// Savestate: Start/Query
host_40 <= savestate_supported;
diff --git a/src/fpga/core/core_top.v b/src/fpga/core/core_top.v
index 458cbf4..891cd99 100644
--- a/src/fpga/core/core_top.v
+++ b/src/fpga/core/core_top.v
@@ -335,6 +335,21 @@ module core_top (
if (bridge_wr) begin
casex (bridge_addr)
+ 32'h00000000: begin
+ ioctl_download <= bridge_wr_data[0];
+ end
+ 32'h00000004: begin
+ rom_size <= bridge_wr_data[3:0];
+ end
+ 32'h00000008: begin
+ rom_type <= bridge_wr_data[7:0];
+ end
+ 32'h0000000C: begin
+ ram_size <= bridge_wr_data[3:0];
+ end
+ 32'h00000010: begin
+ PAL <= bridge_wr_data[0];
+ end
32'h00000050: begin
reset_delay <= 32'h100000;
end
@@ -399,6 +414,9 @@ module core_top (
wire osnotify_inmenu;
+ wire [31:0] rtc_date;
+ wire [31:0] rtc_time;
+
// bridge target commands
// synchronous to clk_74a
@@ -438,6 +456,9 @@ module core_top (
.dataslot_allcomplete(dataslot_allcomplete),
+ .rtc_date(rtc_date),
+ .rtc_time(rtc_time),
+
.savestate_supported (savestate_supported),
.savestate_addr (savestate_addr),
.savestate_size (savestate_size),
@@ -460,8 +481,7 @@ module core_top (
.datatable_addr(datatable_addr),
.datatable_wren(datatable_wren),
.datatable_data(datatable_data),
- .datatable_q (datatable_q),
-
+ .datatable_q (datatable_q)
);
reg ioctl_download = 0;
@@ -475,13 +495,18 @@ module core_top (
always @(posedge clk_74a) begin
dataslot_allcomplete_prev <= dataslot_allcomplete;
- if (dataslot_requestwrite) ioctl_download <= 1;
- else if (dataslot_allcomplete) ioctl_download <= 0;
+ // if (dataslot_requestwrite) ioctl_download <= 1;
+ // else if (dataslot_allcomplete) ioctl_download <= 0;
if (dataslot_requestread || dataslot_requestwrite) save_download <= 1;
else if (dataslot_allcomplete && ~dataslot_allcomplete_prev) save_download <= 0;
end
+ reg [7:0] rom_type;
+ reg [3:0] rom_size;
+ reg [3:0] ram_size;
+ reg PAL;
+
wire save_download_s;
synch_3 save_s (
@@ -561,33 +586,18 @@ module core_top (
.read_data(sd_buff_din)
);
- reg [ 2:0] datatable_div = 0;
- reg [31:0] rom_file_size = 0;
-
always @(posedge clk_74a or negedge pll_core_locked) begin
if (~pll_core_locked) begin
datatable_addr <= 0;
datatable_data <= 0;
datatable_wren <= 0;
end else begin
- if (datatable_div > 4) begin
- // Write sram size half of the time
- datatable_wren <= 1;
- // sram_size is the size of the config value in the ROM. Convert to actual size
- datatable_data <= sram_size ? 32'd1024 << sram_size : 32'h0;
- // Data slot index 1, not id 1
- datatable_addr <= 1 * 2 + 1;
- end else begin
- datatable_wren <= 0;
- // Read ROM size rest of the time
- datatable_addr <= 1;
-
- if (datatable_div == 4) begin
- rom_file_size <= datatable_q;
- end
- end
-
- datatable_div <= datatable_div + 1;
+ // Write sram size half of the time
+ datatable_wren <= 1;
+ // sram_size is the size of the config value in the ROM. Convert to actual size
+ datatable_data <= sram_size ? 32'd1024 << sram_size : 32'h0;
+ // Data slot index 1, not id 1
+ datatable_addr <= 1 * 2 + 1;
end
end
@@ -642,8 +652,6 @@ module core_top (
clk_sys_21_48
);
- wire PAL;
-
// Settings
reg multitap_enabled;
reg lightgun_enabled;
@@ -653,12 +661,36 @@ module core_top (
reg [31:0] reset_delay = 0;
+ reg new_rtc = 0;
+ reg [31:0] prev_time = 0;
+
+ always @(posedge clk_74a) begin
+ if (rtc_time != prev_time) begin
+ prev_time <= rtc_time;
+ new_rtc <= ~new_rtc;
+ end
+ end
+
+ wire [64:0] rtc = {
+ new_rtc,
+ 8'b0, // Empty
+ 8'b1, // Week day (not supported)
+ rtc_date[23:16], // Year (lower byte)
+ rtc_date[15:8], // Month
+ rtc_date[7:0], // Day
+ rtc_time[23:16], // Hour
+ rtc_time[15:8], // Minute
+ rtc_time[7:0] // Second
+ };
+
MAIN_SNES snes (
.clk_mem_85_9 (clk_mem_85_9),
.clk_sys_21_48(clk_sys_21_48),
.core_reset(~pll_core_locked || reset_delay > 0),
+ .rtc(rtc),
+
// Settings
.multitap_enabled(multitap_enabled),
.lightgun_enabled(lightgun_enabled),
@@ -722,12 +754,16 @@ module core_top (
.p4_dpad_right(cont4_key_s[3]),
// ROM loading
- .rom_file_size(rom_file_size),
.ioctl_download(ioctl_download),
.ioctl_wr(ioctl_wr),
.ioctl_addr(ioctl_addr),
.ioctl_dout(ioctl_dout),
+ .rom_type(rom_type),
+ .rom_size(rom_size),
+ .ram_size(ram_size),
+ .PAL(PAL),
+
// Save input/output
.save_download(save_download_s),
.sd_rd(sd_rd),
@@ -785,8 +821,6 @@ module core_top (
.video_g(video_rgb_snes[15:8]),
.video_b(video_rgb_snes[7:0]),
- .PAL(PAL),
-
// Audio
.audio_l(audio_l),
.audio_r(audio_r)
diff --git a/src/fpga/core/rtl/mister_top/SNES.sv b/src/fpga/core/rtl/mister_top/SNES.sv
index d001159..4682fb7 100644
--- a/src/fpga/core/rtl/mister_top/SNES.sv
+++ b/src/fpga/core/rtl/mister_top/SNES.sv
@@ -4,6 +4,8 @@ module MAIN_SNES (
input wire core_reset,
+ input [64:0] rtc,
+
// Settings
input wire multitap_enabled,
input wire lightgun_enabled,
@@ -67,12 +69,16 @@ module MAIN_SNES (
input wire p4_dpad_right,
// ROM loading
- input wire [31:0] rom_file_size,
input wire ioctl_download,
input wire ioctl_wr,
input wire [24:0] ioctl_addr,
input wire [15:0] ioctl_dout,
+ input wire [7:0] rom_type,
+ input wire [3:0] rom_size,
+ input wire [3:0] ram_size,
+ input wire PAL,
+
// Saves
input wire save_download,
input wire sd_rd,
@@ -131,8 +137,6 @@ module MAIN_SNES (
output wire [7:0] video_g,
output wire [7:0] video_b,
- output reg PAL,
-
// Audio
output wire [15:0] audio_l,
output wire [15:0] audio_r
@@ -187,27 +191,6 @@ module MAIN_SNES (
////////////////////////// ROM DETECT /////////////////////////////////
- wire [3:0] rom_size;
- wire [3:0] ram_size;
- wire has_header;
-
- rom_parser rom_parser (
- .clk_mem(clk_sys_21_48),
-
- .rom_file_size(rom_file_size),
-
- .addr(ioctl_addr),
- .data(ioctl_dout),
- .downloading(ioctl_download),
-
- .has_header(has_header),
- .parsed_rom_type(rom_type),
- .parsed_rom_size(rom_size),
- .parsed_sram_size(ram_size),
- .pal(PAL)
- );
-
- wire [7:0] rom_type;
reg [23:0] rom_mask, ram_mask;
// Replaced by rom_parser
// always @(posedge clk_sys) begin
@@ -383,7 +366,7 @@ module MAIN_SNES (
.JOY2_P6(JOY2_P6),
.JOY2_P6_in(JOY2_P6_DI),
- .EXT_RTC(RTC),
+ .EXT_RTC(rtc),
.GG_EN(status[24]),
.GG_CODE(gg_code),
@@ -493,13 +476,11 @@ module MAIN_SNES (
wire [15:0] ROM_D;
wire [15:0] ROM_Q;
- wire [24:0] addr_download = has_header ? ioctl_addr - 10'd512 : ioctl_addr;
-
sdram sdram (
.init(0), //~clock_locked),
.clk(clk_mem),
- .addr(cart_download ? addr_download : ROM_ADDR),
+ .addr(cart_download ? ioctl_addr : ROM_ADDR),
.din (cart_download ? ioctl_dout : ROM_D),
.dout(ROM_Q),
.rd (~cart_download & (RESET_N ? ~ROM_OE_N : RFSH)),
diff --git a/src/fpga/core/stp1.stp b/src/fpga/core/stp1.stp
index c382cdf..c453337 100644
--- a/src/fpga/core/stp1.stp
+++ b/src/fpga/core/stp1.stp
@@ -5,7 +5,7 @@
-
+
@@ -1073,18 +1073,18 @@
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
@@ -1154,64 +1154,64 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
+
+
+
+
-
-
-
-
+
+
+
+
@@ -1266,14 +1266,14 @@
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
@@ -1404,18 +1404,18 @@
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
@@ -1485,64 +1485,64 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
+
+
+
+
-
-
-
-
+
+
+
+
@@ -1597,14 +1597,14 @@
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
@@ -1735,18 +1735,18 @@
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
@@ -1816,64 +1816,64 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
+
+
+
+
-
-
-
-
+
+
+
+
@@ -1928,14 +1928,14 @@
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
@@ -2045,13 +2045,13 @@
-
+
-
+
@@ -2077,37 +2077,26 @@
- 010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000100000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000100000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000100000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000100000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000001000001100001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011000010000000000000000001010000000000000000000010001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000011010000000000000000000010001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111111100000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000011010000000000000000000010001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111001000111010000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000011010000000000000000000010001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111001000111110000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000011010000000000000000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111001000111001000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000001010000000000000000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111001000111101000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000001010000000000000000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000001010000000000000000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000001010000000000000000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000001010000000000000000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000001010000000000000000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000001010000000000000000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000001010000000000000000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000001010000000000000000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000001010000000000000000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000001010000000000000000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000001010000000000000000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000001010000000000000000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000001010000000000000000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000001010000000000000000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000001010000000000001000000010001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000011010000000000001000000010001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111111100000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000011010000000000001000000010001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111001000111010000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000011010000000000001000000010001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111001000111110000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000011010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111001000111001000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111001000111101000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000100000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000100000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000100000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000100000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000100000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000001000000010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000001010000000000000000001010001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000011010000000000000000001010001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111111100000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000011010000000000000000001010001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111101000111010000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000011010000000000000000001010001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111101000111110000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000011010000000000000000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111101000111001000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000001010000000000000000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111101000111101000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000001010000000000000000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000001010000000000000000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000001010000000000000000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000001010000000000000000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000001010000000000000000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000001010000000000000000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000001010000000000000000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000001010000000000000000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000001010000000000000000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000001010000000000000000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000001010000000000000000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000001010000000000000000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000001010000000000000000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000001010000000000000000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000001010000000000001000001010001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000011010000000000001000001010001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111111100000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000011010000000000001000001010001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111101000111010000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000011010000000000001000001010001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111101000111110000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000011010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111101000111001000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111101000111101000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010001000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000100000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000100000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000100000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000100000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000001000001010001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000010100010000000000000000001010000000000000000000110001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000011010000000000000000000110001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111111100000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000011010000000000000000000110001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111011000111010000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000011010000000000000000000110001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111011000111110000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000011010000000000000000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111011000111001000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000001010000000000000000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111011000111101000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000001010000000000000000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000001010000000000000000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000001010000000000000000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000001010000000000000000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000001010000000000000000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000001010000000000000000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000001010000000000000000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000001010000000000000000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000001010000000000000000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000001010000000000000000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000001010000000000000000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000001010000000000000000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000001010000000000000000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000001010000000000000000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010000000000000000001010000000000001000000110001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000011010000000000001000000110001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111111100000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000011010000000000001000000110001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111011000111010000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000011010000000000001000000110001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111011000111110000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000011010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111011000111001000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111011000111101000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000100000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000100000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000100000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000100000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000100000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000001000000110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001100010000000000000000001010000000000000000001110001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000011010000000000000000001110001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111111100000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000011010000000000000000001110001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111111000111010000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000011010000000000000000001110001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111111000111110000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000011010000000000000000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111111000111001000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000001010000000000000000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111111000111101000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000001010000000000000000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000001010000000000000000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000001010000000000000000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000001010000000000000000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000001010000000000000000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000001010000000000000000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000001010000000000000000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000001010000000000000000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000001010000000000000000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000001010000000000000000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000001010000000000000000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000001010000000000000000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000001010000000000000000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000001010000000000000000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010000000000000000001010000000000001000001110001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000011010000000000001000001110001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111111100000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000011010000000000001000001110001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111111000111010000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000011010000000000001000001110001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111111000111110000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000011010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111111000111001000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111111000111101000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010011000100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000100000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000100000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000100000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000100000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000001000001110001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011100010000000000000000001010000000000000000000001001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000011010000000000000000000001001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111111100000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000011010000000000000000000001001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111000100111010000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000011010000000000000000000001001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111000100111110000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000011010000000000000000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111000100111001000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000001010000000000000000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111000100111101000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000001010000000000000000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000001010000000000000000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000001010000000000000000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000001010000000000000000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000001010000000000000000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000001010000000000000000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000001010000000000000000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000001010000000000000000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000001010000000000000000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000001010000000000000000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000001010000000000000000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000001010000000000000000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000001010000000000000000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000001010000000000000000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000001010000000000001000000001001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000011010000000000001000000001001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111111100000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000011010000000000001000000001001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111000100111010000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000011010000000000001000000001001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111000100111110000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000011010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111000100111001000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111000100111101000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000100000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000100000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000100000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000100000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000100000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000001000000001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010000000000000000001010000000000000000001001001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000011010000000000000000001001001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111111100000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000011010000000000000000001001001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111100100111010000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000011010000000000000000001001001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111100100111110000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000011010000000000000000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111100100111001000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000001010000000000000000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111100100111101000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000001010000000000000000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000001010000000000000000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000001010000000000000000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000001010000000000000000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000001010000000000000000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000001010000000000000000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000001010000000000000000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000001010000000000000000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000001010000000000000000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000001010000000000000000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000001010000000000000000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000001010000000000000000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000001010000000000000000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000001010000000000000000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000001010000000000001000001001001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000011010000000000001000001001001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111111100000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000011010000000000001000001001001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111100100111010000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000011010000000000001000001001001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111100100111110000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000011010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111100100111001000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111100100111101000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010000100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000100000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000100000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000100000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000100000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000100000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000001000001001001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000010010010000000000000000001010000000000000000000101001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000011010000000000000000000101001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111111100000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000011010000000000000000000101001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111010100111010000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000011010000000000000000000101001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111010100111110000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000011010000000000000000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111010100111001000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000001010000000000000000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111010100111101000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000001010000000000000000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000001010000000000000000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000001010000000000000000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000001010000000000000000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000001010000000000000000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000001010000000000000000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000001010000000000000000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000001010000000000000000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000001010000000000000000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000001010000000000000000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000001010000000000000000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000001010000000000000000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000001010000000000000000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000001010000000000000000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000001010000000000001000000101001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000011010000000000001000000101001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111111100000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000011010000000000001000000101001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111010100111010000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000011010000000000001000000101001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111010100111110000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000011010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111010100111001000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111010100111101000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000100000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000100000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000100000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000100000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000100000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000001000000101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010010000000000000000001010000000000000000001101001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000011010000000000000000001101001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111111100000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000011010000000000000000001101001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111110100111010000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000011010000000000000000001101001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111110100111110000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000011010000000000000000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111110100111001000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000001010000000000000000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111011111110100111101000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000001010000000000000000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000001010000000000000000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000001010000000000000000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000001010000000000000000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000001010000000000000000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000001010000000000000000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000001010000000000000000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000001010000000000000000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000001010000000000000000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000001010000000000000000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000001010000000000000000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000001010000000000000000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000001010000000000000000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000001010000000000000000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000011010010000000000000000001010000000000001000001101001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000011010000000000001000001101001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111111100000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000011010000000000001000001101001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111110100111010000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000011010000000000001000001101001000000000000000001100000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111110100111110000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000011010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111110100111001000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000001111111111111111110100111101000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001010000000000001000001101001000000000000000001000000000000000000000000000000000000000000000011111111111111001111111100110000000011111111111111111111111110000000000000000000000001010010100100000000000000000000000000000000000000000000000000000000000000000000000000000100000011010010000000000000000001
+ 000000000000000000001111111000000000000000001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000011000000000000000000001111111000000000000000001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111111100000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000011000000000000000000001111111000000000000000001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000111111101010000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000011000000000000000000001111111000000000000000001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000111111101110000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000011000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000111111101001000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000111111101101000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000000000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000001000000000000001000001111111000000000000000001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000011000000000000001000001111111000000000000000001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111111100000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000011000000000000001000001111111000000000000000001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000111111101010000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000011000000000000001000001111111000000000000000001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000111111101110000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000011000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000111111101001000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000111111101101000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111100000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011111010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000000000000001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011010010001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011010010001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011010010001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011010010001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011010010001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011010010001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011010010001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011010010001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011010010001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011010010001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011010010001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011010010001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011010010001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011010010001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011010010001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011010010001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011010010001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011010010001110100000000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000001000001111111000000000000000001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000011111110000000000000000001000000000000000000000000000101110010011110001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100011000000000000000000000000000101110010011110001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111111100000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100011000000000000000000000000000101110010011110001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000000000011010000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100011000000000000000000000000000101110010011110001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000000000011110000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100011000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000000000011001000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000000000011101000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000000000000000000101110010011110001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000000000000000001011100100111100001000000000000001000000000000100000100000000011100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000111000000000000001000000000000100000100000000011100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111111100000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000111000000000000001000000000000100000100000000011100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000000000011010000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000111000000000000001000000000000100000100000000011100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000000000011110000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000111000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000000000011001000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000000000011101000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010001110100001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011110010011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011100000011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011100000011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011100000011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011100000011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011100000011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011100000011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011100000011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011100000011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011100000011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000000011100000011100010001000000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011100000011100010001001000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011100000011100010001001000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011100000011100010001001000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011100000011100010001001000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011100000011100010001001000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011100000011100010001001000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011100000011100010001001000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011100000011100010001001000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011100000011100010001001000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000001000000000000100000100000000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000000000001000001000000000101000000000000000000001000000101100000111001001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010011000000000000000000001000000101100000111001001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111111100000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010011000000000000000000001000000101100000111001001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000100000011010000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010011000000000000000000001000000101100000111001001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000100000011110000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010011000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000100000011001000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000100000011101000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000000000001000000101100000111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000000000010000001011000001110010001000000000000001000001000000101110011000010001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100011000000000000001000001000000101110011000010001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111111100000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100011000000000000001000001000000101110011000010001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000100000011010000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100011000000000000001000001000000101110011000010001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000100000011110000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100011000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000100000011001000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000100000011101000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010000000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000000011101011100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000001101001001100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000001101001001100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000001101001001100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000001101001001100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000001101001001100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000001101001001100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000001101001001100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000001101001001100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100011000001101001001100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100100000101101001001100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100100000101101001001100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100100000101101001001100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100100000101101001001100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100100000101101001001100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100100000101101001001100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100100000101101001001100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100100000101101001001100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000000000100100000101101001001100101000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101001001100100000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101001001100100000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101001001100100000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101001001100100000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101001001100100000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101001001100100000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101001001100100000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101001001100100000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101001001100100000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101001001100100000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000001000001000000101110011000010001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000010000001011100110000100001000000000000000000000100000110000101010000011100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000111000000000000000000000100000110000101010000011100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111111100000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000111000000000000000000000100000110000101010000011100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000010000011010000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000111000000000000000000000100000110000101010000011100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000010000011110000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000111000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000010000011001000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000010000011101000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000000000000100000110000101010000011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000000000001000001100001010100000101000000000000001000000100000100000100111001001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010011000000000000001000000100000100000100111001001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111111100000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010011000000000000001000000100000100000100111001001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000010000011010000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010011000000000000001000000100000100000100111001001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000010000011110000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010011000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000010000011001000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000010000011101000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101101000000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101000010000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101000010000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101000010000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101000010000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101000010000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101000010000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101000010000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101000010000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010100000101000010000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010011010011000010000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010011010011000010000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010011010011000010000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010011010011000010000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010011010011000010000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010011010011000010000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010011010011000010000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010011010011000010000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110010011010011000010000001000000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000001110100011010011000010000001010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000000000100011010011000010000001010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000000000100011010011000010000001010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000000000100011010011000010000001010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000000000100011010011000010000001010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000000000100011010011000010000001010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000000000100011010011000010000001010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000000000100011010011000010000001010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000000000100011010011000010000001010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000000000100011010011000010000001010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000001000000100000100000100111001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000001000001000001001110010001000000000000000000001100000111110010000100001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000011000000000000000000001100000111110010000100001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111111100000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000011000000000000000000001100000111110010000100001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000110000011010000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000011000000000000000000001100000111110010000100001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000110000011110000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000011000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000110000011001000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000110000011101000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000000000001100000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000000000011000001111100100001000001000000000000001000001100000110001000000010101100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101011000000000000001000001100000110001000000010101100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111111100000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101011000000000000001000001100000110001000000010101100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000110000011010000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101011000000000000001000001100000110001000000010101100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000110000011110000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101011000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000110000011001000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000110000011101000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010010000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010011000010000010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010010011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010010011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010010011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010010011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010010011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010010011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010010011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010010011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100011010010011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100001000010011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100100000100011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100100000100011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100100000100011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100100000100011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100100000100011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100100000100011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100100000100011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100100000100011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010000100100000100011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010001000100000100011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010001000100000100011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010001000100000100011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010001000100000100011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010001000100000100011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010001000100000100011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010001000100000100011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010001000100000100011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000010001000100000100011100010010010000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000001000001100000110001000000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000011000001100010000000101001000000000000000000000010000100000100111001011100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010111000000000000000000000010000100000100111001011100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111111100000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010111000000000000000000000010000100000100111001011100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000001000011010000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010111000000000000000000000010000100000100111001011100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000001000011110000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010111000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000001000011001000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000001000011101000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000000000000010000100000100111001011000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000000000000100001000001001110010101000000000000001000000010000111110010000100001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000011000000000000001000000010000111110010000100001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111111100000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000011000000000000001000000010000111110010000100001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000001000011010000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000011000000000000001000000010000111110010000100001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000001000011110000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000011000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000001000011001000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000001000011101000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000100011101011100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000101100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000101100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000101100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000101100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000101100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000101100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000101100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000101100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001000100000101100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001001000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001001000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001001000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001001000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001001000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001001000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001001000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001001000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001001000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000001011000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100001101100110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000001000000010000111110010000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000000100001111100100001000001000000000000000000001010000110001001000010101100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101011000000000000000000001010000110001001000010101100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111111100000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101011000000000000000000001010000110001001000010101100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000101000011010000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101011000000000000000000001010000110001001000010101100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000101000011110000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101011000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000101000011001000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000101000011101000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000000000001010000110001001000010101000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000000000010100001100010010000101001000000000000001000001010000110000010010101001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010011000000000000001000001010000110000010010101001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111111100000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010011000000000000001000001010000110000010010101001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000101000011010000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010011000000000000001000001010000110000010010101001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000101000011110000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010011000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000101000011001000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000101000011101000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010001000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001100000100000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101011000001001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101010000000001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101010000000001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101010000000001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101010000000001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101010000000001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101010000000001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101010000000001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101010000000001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000101010000000001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000010000110000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000001000001010000110000010010101001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000010100001100000100101010001000000000000000000000110000110000000110001001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010011000000000000000000000110000110000000110001001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111111100000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010011000000000000000000000110000110000000110001001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000011000011010000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010011000000000000000000000110000110000000110001001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000011000011110000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010011000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000011000011001000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000000000011000011101000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000000000000110000110000000110001001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000000000001100001100000001100010001000000000000001000000110000110110000000100001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000011000000000000001000000110000110110000000100001100000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111111100000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000011000000000000001000000110000110110000000100001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000011000011010000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000011000000000000001000000110000110110000000100001100000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000011000011110000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000011000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000011000011001000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000000000000000100000011000011101000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001000011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001011000011100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000000000001101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000100000111101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000100000111101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000100000111101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000100000111101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000100000111101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000100000111101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000100000111101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000100000111101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000100000111101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000001000100000111101110111100010000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000111000100000111101110111100000000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000111000100000111101110111100000000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000111000100000111101110111100000000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000111000100000111101110111100000000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000111000100000111101110111100000000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000111000100000111101110111100000000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000111000100000111101110111100000000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000111000100000111101110111100000000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000000111000100000111101110111100000000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001000000000000001000000110000110110000000100001000000000000000000000000000000000000000000000010000000000100001111111100000000000011111111111111111111111110000000000000000000000001010011000010000000000000000000000000000000010111000100000111101110111010100000000000000100000001100001101100000001000001
11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
-
+
-
+
-
+
-
-
-
-
-
-
-
-
-
-
-
-
+
@@ -2799,23 +2788,23 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
@@ -2926,112 +2915,112 @@
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
-
-
-
-
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
+
+
+
+
+
@@ -3053,23 +3042,23 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
@@ -3180,112 +3169,112 @@
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
-
-
-
-
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
+
+
+
+
+
@@ -3307,23 +3296,23 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
@@ -3434,126 +3423,125 @@
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
-
-
-
-
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
+
+
+
+
+
-
+
-
-
+
@@ -3584,6 +3572,17 @@
+
+
+
+
+
+
+
+
+
+
+
@@ -21319,6 +21318,8 @@ v+ZvLx/9wdcffcPNN2uDsC5Ibn2+8d7/D0/qWw8=
+
+
eJysuWVYXUG2LYrrxt3dXYO7u7s7wd3dgru7JrhDcAjuEFyChuBuwd8m6e7b
/c7p7/65a3+MqjGmlMwFu9ZCVVEC5P0CAH9AQWSBqOxm7Ozq5kKs5GxtZ04s
@@ -38018,6 +38019,8 @@ clQQoiKp+nzuw38BZjPUuA==
+
+
@@ -38198,17 +38201,17 @@ clQQoiKp+nzuw38BZjPUuA==
-
+
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
@@ -38237,14 +38240,14 @@ clQQoiKp+nzuw38BZjPUuA==
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
@@ -38261,17 +38264,17 @@ clQQoiKp+nzuw38BZjPUuA==
-
+
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
@@ -38300,14 +38303,14 @@ clQQoiKp+nzuw38BZjPUuA==
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
@@ -38324,17 +38327,17 @@ clQQoiKp+nzuw38BZjPUuA==
-
+
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
@@ -38363,14 +38366,14 @@ clQQoiKp+nzuw38BZjPUuA==
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
@@ -40153,18 +40156,26 @@ clQQoiKp+nzuw38BZjPUuA==
-
+
-
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
@@ -40215,30 +40226,6 @@ clQQoiKp+nzuw38BZjPUuA==
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
@@ -40263,73 +40250,27 @@ clQQoiKp+nzuw38BZjPUuA==
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
@@ -40380,30 +40321,6 @@ clQQoiKp+nzuw38BZjPUuA==
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
@@ -40428,73 +40345,27 @@ clQQoiKp+nzuw38BZjPUuA==
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
@@ -40545,30 +40416,6 @@ clQQoiKp+nzuw38BZjPUuA==
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
@@ -40593,666 +40440,379 @@ clQQoiKp+nzuw38BZjPUuA==
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
+
+
+
+
+
-
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
+
+
+
+
+
+
+
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
+
+
+
+
+
-
+
+
+
+
+
+
+
+
+
+
+
-
+
-
-
+
+
+
-
+
+
- 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
- 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+ 111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
+ 111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
@@ -41271,25 +40831,14 @@ clQQoiKp+nzuw38BZjPUuA==
- 11110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000010000000000001111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000001111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000000111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000011111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101000000001111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010100000000111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101010000000011111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000111111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000011111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000111111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000011111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000111111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000011111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000011111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000111111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000011111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000011111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000111111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000011111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000111111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000111111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000011111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000111111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000011111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000011111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000111111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000011111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000111111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000011111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000111111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000011111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000111111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000011111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000011111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000111111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000011111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000111111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000011111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000111111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000011111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001111111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000111111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101111100000000000000000000000011000000000010000111111111000000000000000001000000000000000000000000000000100000000000000000000000000000000000111001110010101101000010111110000000000000000000000001100000000001000011111111100000000000000000100000000000000000000000000000010000000000000000000000000000000000011100111001010110100001011111000000000000000000000000110000000000100001111111110000000000000000010000000000000000000000000000001000000000000000000000000000000000001110011100101011010000101
+ 011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001011000000000100001111111101111111111010000111111110100100001000000110000000001000100100000001
1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
-
-
-
-
-
-
-
-
-
-
-
-
+
diff --git a/src/support/check_header.asm b/src/support/check_header.asm
new file mode 100644
index 0000000..29b6713
--- /dev/null
+++ b/src/support/check_header.asm
@@ -0,0 +1,455 @@
+constant base_address = 0x1900
+constant output_address = 0x1904
+
+constant rambuf = 0x1b00 // Starts at 0xZZZB2 in ROM
+constant header_start_mem = rambuf + 14 // Offset to 0xZZZC0
+
+// Address into header/ROM
+constant gsu_ramz_addr = rambuf + 11 // FBD
+
+constant mapping_mode_addr = header_start_mem + 0x15 // FD5
+constant rom_type_addr = header_start_mem + 0x16 // FD6
+constant rom_size_addr = header_start_mem + 0x17 // FD7
+constant sram_size_addr = header_start_mem + 0x18 // FD8
+constant region_addr = header_start_mem + 0x19 // FD9
+constant dev_id_addr = header_start_mem + 0x1A // FDA
+constant version_number_addr = header_start_mem + 0x1B // FDB
+constant checksum_complement_addr = header_start_mem + 0x1C // FDC/D
+constant checksum_addr = header_start_mem + 0x1E // FDE/F
+
+// Registers:
+// r10: PAL (stored 3)
+// r11: ramsz (stored 2)
+// r12: chip_type (stored 1)
+// r13: score (stored 0)
+
+// Function
+// Input: r3 - SMC header status
+// Clobbers r1, r2, r3, r10, r11, r12, r13
+macro check_header(variable base_address_input, variable output_address_input) {
+ ld r1,#base_address_input
+ ld r2,#output_address_input
+
+ ld.w r3,(header_offset_addr) // Get header offset
+ add r1,r3 // Add offset to base address
+
+ ld.w (base_address),r1 // Store base_address into RAM
+ ld.w (output_address),r2 // Store output_address into RAM
+
+ log_string("Starting header at:")
+ hex.l r1
+ hex.l r3
+ call load_header_values_into_mem
+
+ log_string("Loaded header data")
+
+ // Score is stored in r13
+ ld r13,#0
+ call validate_checksum
+ validate_mapping_mode(base_address_input)
+ call validate_simple_values
+ call choose_ramsz
+ call choose_chip_type
+ call check_bsx
+ call choose_region
+
+ log_string("Storing header data at:")
+ ld.w r1,(output_address)
+ hex.w r1
+
+ ld.b (r1),r13 // score
+ add r1,#1
+ ld.b (r1),r12 // chip_type
+ add r1,#1
+ ld.b (r1),r11 // ramsz
+ add r1,#1
+ ld.b (r1),r10 // PAL
+
+ log_string("Finished header. Score:")
+ hex.b r13
+}
+
+validate_checksum:
+ // if (checksum != 0 && checksum_compliment != 0 && checksum + checksum_compliment == 'hFFFF)
+ log_string("Checking checksum")
+ ld.w r1,(checksum_addr) // Load checksum
+ jp z, finish_checksum // If checksum 0, skip
+
+ ld.w r2,(checksum_complement_addr) // Load checksum complement
+ jp z, finish_checksum // If complement 0, skip
+
+ add r1,r2 // Add checksum and complement
+ cmp r1,#0xFFFF // Compare against 0xFFFF
+ jp nz, finish_checksum // If not equal, skip
+
+ // Increment score
+ add r13,#4
+ log_string("Score checksum +4")
+
+ finish_checksum:
+ log_string("Finished checksum")
+ ret
+
+macro validate_mapping_mode(variable address) {
+ log_string("Checking mapping mode")
+ ld.w r1,(mapping_mode_addr)
+
+ if (address == 0x7FBC) {
+ cmp r1,#0x20 // Compare against mapper 0x20 (LoROM)
+ jp nz, noscore{#}
+ cmp r1,#0x22 // Compare against mapper 0x22 (SDD1)
+ jp nz, noscore{#}
+ } else if (address == 0xFFBC) {
+ cmp r1,#0x21 // Compare against mapper 0x21 (HiROM)
+ jp nz, noscore{#}
+ } else if (address == 0x40FFBC) {
+ cmp r1,#0x25 // Compare against mapper 0x25 (ExHiROM)
+ jp nz, noscore{#}
+ }
+
+ add r13,#2 // Add 2 to score
+ log_string("Score mapper +2")
+
+ noscore{#}:
+ log_string("Finished mapping mode")
+}
+
+macro check_simple_value_inequality(variable address, variable less_than, define name) {
+ // Check address < less_than
+ ld.b r1,(address)
+ cmp r1,#less_than // r1 - less_than
+ jp nc, end_inequality{#} // If carry set, address < less_than
+
+ add r15,#1
+ log_string("Score {name} +1")
+
+ end_inequality{#}:
+}
+
+macro check_value_equality(variable address, variable compare) {
+ ld.b r1,(address)
+ cmp r1,#compare
+}
+
+validate_simple_values:
+ log_string("Checking simple values")
+
+ // Check dev id is 0x33
+ ld.b r1,(dev_id_addr)
+ cmp r1,#0x33 // If dev id is 0x33
+
+ jp nz, rom_type
+ add r13,#2
+ log_string("Score dev_id +2")
+
+ rom_type:
+ check_simple_value_inequality(rom_type_addr, 8, rom_type)
+ check_simple_value_inequality(rom_size_addr, 16, rom_size)
+ check_simple_value_inequality(sram_size_addr, 8, ram_size)
+ check_simple_value_inequality(region_addr, 14, region)
+
+ log_string("Finished simple values")
+ ret
+
+choose_ramsz:
+ log_string("Checking RAMSZ")
+ ld.b r11,(sram_size_addr) // ramsz is stored in r11
+
+ ld r1,#8
+ cmp r11,r1 // r11 - 8
+ jp nc, zero_sram // If r11 >= 8
+ jp end_choose_ramsz
+
+ zero_sram:
+ ld r11,#0
+
+ end_choose_ramsz:
+ log_string("Finished RAMSZ")
+ ret
+
+choose_chip_type:
+ log_string("Checking chip type")
+ ld r12,#0 // chip_type is stored in r12
+
+ // if (mapping_mode == 'h20 && rom_type == 'h03) begin
+ log_string("Checking DSP1")
+ check_value_equality(mapping_mode_addr, 0x20)
+ jp nz, dsp1b
+ check_value_equality(rom_type_addr, 0x03)
+ jp nz, dsp1b
+ // Mapping 0x20, rom_type 0x3 => DSP1
+ or r12,#0x84
+ jp separate_ifs
+
+ dsp1b: // if (mapping_mode == 'h21 && rom_type == 'h03) begin
+ log_string("Checking DSP1B")
+ check_value_equality(mapping_mode_addr, 0x21)
+ jp nz, dsp1b_2
+ check_value_equality(rom_type_addr, 0x03)
+ jp nz, dsp1b_2
+ // Mapping 0x21, rom_type 0x3 => DSP1B
+ or r12,#0x80
+ jp separate_ifs
+
+ dsp1b_2: // if (mapping_mode == 'h30 && rom_type == 'h05 && dev_id != 'hB2) begin
+ check_value_equality(mapping_mode_addr, 0x30)
+ jp nz, dsp1b_3
+ check_value_equality(rom_type_addr, 0x05)
+ jp nz, dsp1b_3
+ check_value_equality(dev_id_addr, 0xB2)
+ jp z, dsp1b_3
+ // Mapping 0x30, rom_type 0x5, dev_id != 0xB2 => DSP1B
+ or r12,#0x80
+ jp separate_ifs
+
+ dsp1b_3: // if (mapping_mode == 'h31 && (rom_type == 'h03 || rom_type == 'h05)) begin
+ check_value_equality(mapping_mode_addr, 0x31)
+ jp nz, dsp2
+ check_value_equality(rom_type_addr, 0x03)
+ jp z, set_dsp1b_3
+ check_value_equality(rom_type_addr, 0x05)
+ jp nz, dsp2
+
+ set_dsp1b_3:
+ // Mapping 0x31, rom_type 0x3 || 0x5 => DSP1B
+ or r12,#0x80
+ jp separate_ifs
+
+ dsp2: // if (mapping_mode == 'h20 && rom_type == 'h05) begin
+ log_string("Checking DSP2")
+ check_value_equality(mapping_mode_addr, 0x20)
+ jp nz, dsp3
+ check_value_equality(rom_type_addr, 0x05)
+ jp nz, dsp3
+ // Mapping 0x20, rom_type 0x5 => DSP2
+ or r12,#0x90
+ jp separate_ifs
+
+ dsp3: // if (mapping_mode == 'h30 && rom_type == 'h05 && dev_id == 'hB2) begin
+ log_string("Checking DSP3")
+ check_value_equality(mapping_mode_addr, 0x30)
+ jp nz, dsp4
+ check_value_equality(rom_type_addr, 0x05)
+ jp nz, dsp4
+ check_value_equality(dev_id_addr, 0xB2)
+ jp nz, dsp4
+ // Mapping 0x30, rom_type 0x5, dev_id 0xB2 => DSP3
+ or r12,#0xA0
+ jp separate_ifs
+
+ dsp4: // if (mapping_mode == 'h30 && rom_type == 'h03) begin
+ log_string("Checking DSP4")
+ check_value_equality(mapping_mode_addr, 0x30)
+ jp nz, st010
+ check_value_equality(rom_type_addr, 0x03)
+ jp nz, st010
+ // Mapping 0x30, rom_type 0x3 => DSP4
+ or r12,#0xB0
+ jp separate_ifs
+
+ st010: // if (mapping_mode == 'h30 && rom_type == 'hF6) begin
+ log_string("Checking ST010")
+ check_value_equality(mapping_mode_addr, 0x30)
+ jp nz, obc1
+ check_value_equality(rom_type_addr, 0xF6)
+ jp nz, obc1
+ // Mapping 0x30, rom_type 0xF6 => ST010
+ or r12,#0x88
+ ld r11,#1
+
+ // if (rom_size < 10)
+ check_value_equality(rom_size_addr, 10)
+ jp nc, separate_ifs
+ // If rom_size < 10 => ST011
+ or r12,#0x20
+ jp separate_ifs
+
+ obc1: // if (mapping_mode == 'h30 && rom_type == 'h25) begin
+ log_string("Checking OBC1")
+ check_value_equality(mapping_mode_addr, 0x30)
+ jp nz, separate_ifs
+ check_value_equality(rom_type_addr, 0x25)
+ jp nz, separate_ifs
+ // Mapping 0x30, rom_type 0x25 => OBC1
+ or r12,#0xC0
+
+ separate_ifs: // These ifs are standalone (not else if)
+ // if (mapping_mode == 'h3A && (rom_type == 'hF5 || rom_type == 'hF9)) begin
+ log_string("Checking SPC7110")
+ check_value_equality(mapping_mode_addr, 0x3A)
+ jp nz, srtc
+ check_value_equality(rom_type_addr, 0xF5)
+ jp z, set_spc7110
+ check_value_equality(rom_type_addr, 0xF9)
+ jp nz, srtc
+ // Mapping 0x3A, rom_type 0xF5 || 0xF9 => SPC7110
+
+ // Is F9
+ or r12,#0x08 // With RTC
+
+ set_spc7110:
+ or r12,#0xD0 // SPC7110
+
+ srtc: // if (mapping_mode == 'h35 && rom_type == 'h55) begin
+ log_string("Checking S-RTC")
+ check_value_equality(mapping_mode_addr, 0x35)
+ jp nz, cx4
+ check_value_equality(rom_type_addr, 0x55)
+ jp nz, cx4
+ // Mapping 0x35, rom_type 0x55 => S-RTC (+ExHigh)
+
+ or r12,#0x8
+
+ cx4: // if (mapping_mode == 'h20 && rom_type == 'hF3) begin
+ log_string("Checking CX4")
+ check_value_equality(mapping_mode_addr, 0x20)
+ jp nz, sdd1
+ check_value_equality(rom_type_addr, 0xF3)
+ jp nz, sdd1
+ // Mapping 0x20, rom_type 0xF3 => CX4
+
+ or r12,#0x40
+
+ sdd1: // if (mapping_mode == 'h32 && (rom_type == 'h43 || rom_type == 'h45) && rom_size < 14) begin
+ log_string("Checking SDD1")
+ check_value_equality(mapping_mode_addr, 0x32)
+ jp nz, sa1
+ check_value_equality(romsz_addr, 14) // Only mark SDD1 if romsz < 14
+ jp nc, sa1
+ check_value_equality(rom_type_addr, 0x43)
+ jp z, set_sdd1
+ check_value_equality(rom_type_addr, 0x45)
+ jp nz, sa1
+ // Mapping 0x32, rom_type 0x43 || 0x45, rom_size < 14 => SDD1
+
+ set_sdd1:
+ or r12,#0x50
+
+ sa1: // if (mapping_mode == 'h23 && (rom_type == 'h32 || rom_type == 'h34 || rom_type == 'h35)) begin
+ log_string("Checking SA1")
+ check_value_equality(mapping_mode_addr, 0x23)
+ jp nz, gsu
+ check_value_equality(rom_type_addr, 0x32)
+ jp z, set_sa1
+ check_value_equality(rom_type_addr, 0x34)
+ jp z, set_sa1
+ check_value_equality(rom_type_addr, 0x35)
+ jp nz, gsu
+ // Mapping 0x23, rom_type 0x32 || 0x34 || 0x35 => SA1
+
+ set_sa1:
+ or r12,#0x60
+
+ gsu: // if (mapping_mode == 'h20 && (rom_type == 'h13 || rom_type == 'h14 || rom_type == 'h15 || rom_type == 'h1A)) begin
+ log_string("Checking GSU")
+ check_value_equality(mapping_mode_addr, 0x20)
+ jp nz, finished_chip
+ check_value_equality(rom_type_addr, 0x13)
+ jp z, set_gsu
+ check_value_equality(rom_type_addr, 0x14)
+ jp z, set_gsu
+ check_value_equality(rom_type_addr, 0x15)
+ jp z, set_gsu
+ check_value_equality(rom_type_addr, 0x1A)
+ jp nz, finished_chip
+ // Mapping 0x20, rom_type 0x13 || 0x14 || 0x15 || 0x1A => GSU
+
+ set_gsu:
+ or r12,#0x70
+
+ ld.b r11,(gsu_ramz_addr) // Load GSU ramsz
+ cmp r11,#0xFF // If ramsz == 0xFF
+ jp nz, check_6
+ ld r11,#5 // Starfox
+
+ check_6:
+ ld r1,#6
+ cmp r1,r11 // Cmp 6 - r11
+ jp nc, finished_chip
+ ld r11,#6 // Max out at 6
+
+ finished_chip:
+ log_string("Finished chip type, ramsz:")
+ hex.b r12
+ hex.b r11
+
+ ret
+
+choose_region:
+ log_string("Checking region")
+ ld r10,#0
+ // if ((region >= 'h02 && region <= 'h0C) || region == 'h11) begin
+ check_value_equality(region_addr, 0x2)
+ jp c, region_11 // If region < 2, jump to region_11
+
+ ld r2,#0xC
+ cmp r2,r1
+ jp c, region_11 // If region > 0xC, jump to region_11
+ jp set_pal // It's PAL
+
+ region_11:
+ cmp r1,#0x11 // If region == 0x11
+ jp nz, end_region
+
+ set_pal:
+ ld r10,#1
+
+ end_region:
+ log_string("Finished region:")
+ hex.b r10
+ ret
+
+check_bsx:
+ log_string("Checking BSX")
+ // buf[addr - 14] == 'Z' && buf[addr - 11] == 'J' &&
+ check_value_equality(rambuf, 0x5A) // Check if 'Z'
+ jp nz, not_bsx
+ check_value_equality(rambuf + 3, 0x4A) // Check if 'J'
+ jp nz, not_bsx
+
+ // ((buf[addr - 13] >= 'A' && buf[addr - 13] <= 'Z') || (buf[addr - 13] >= '0' && buf[addr - 13] <= '9')) &&
+ // Condition one
+ check_value_equality(rambuf + 1, 0x41) // 'A'
+ jp c, condition_two
+ check_value_equality(rambuf + 1, 0x5A) // 'Z'
+ jp c, condition_three // Carry or Zero means <=
+ jp z, condition_three
+
+ condition_two:
+ check_value_equality(rambuf + 1, 0x30) // '0'
+ jp c, not_bsx
+ check_value_equality(rambuf + 1, 0x39) // '9'
+ jp c, condition_three // Carry or Zero means <=
+ jp z, condition_three
+
+ // (buf[addr + Company] == 0x33 || (buf[addr - 10] == 0x00 && buf[addr - 4] == 0x00))
+ condition_three:
+ check_value_equality(dev_id_addr, 0x33)
+ jp z, is_bsx
+
+ check_value_equality(rambuf + 4, 0)
+ jp nz, not_bsx
+ check_value_equality(rambuf + 10, 0)
+ jp nz, not_bsx
+
+ is_bsx:
+ // Set chip_type lower nibble to 3
+ or r12,#3
+
+ not_bsx:
+ log_string("Finished BSX:")
+ hex.b r12
+ ret
+
+// Load all header values from file into memory
+load_header_values_into_mem:
+ seek()
+ ld r1,#0x50 // Load 0x50 bytes
+ ld r2,#rambuf // Read into read_space memory
+ read()
+ ret
+
+// Fetch a header byte value into register
+//macro fetch_header_byte(variable address) {
+// ld.b r1,(address)
+//}
diff --git a/src/support/loader.asm b/src/support/loader.asm
new file mode 100644
index 0000000..728a02f
--- /dev/null
+++ b/src/support/loader.asm
@@ -0,0 +1,225 @@
+architecture chip32.vm
+output "loader.bin", create
+
+constant DEBUG = 1
+
+constant rom_dataslot = 0
+constant save_dataslot = 10
+
+// Host init command
+constant host_init = 0x4002
+
+// Addresses
+constant rom_file_size = 0x1000
+constant header_offset_addr = 0x1004
+
+constant lorom_header_seek = 0x007FB2
+constant hirom_header_seek = 0x00FFB2
+constant exhirom_header_seek = 0x40FFB2
+
+constant lorom_output = 0x1A00
+constant hirom_output = 0x1A10
+constant exhirom_output = 0x1A20
+
+constant romsz_addr = 0x1800
+
+// Error vector (0x0)
+jp error_handler
+
+// Init vector (0x2)
+jp start
+
+/// Includes ///
+include "util.asm"
+align(2)
+
+include "check_header.asm"
+align(2)
+
+start:
+ld r1,#rom_dataslot // populate data slot
+open r1,r2
+
+ld.l (rom_file_size),r2
+and r2,#0x200 // AND with 0x200, which implies SMC header
+jp z, no_header // If empty, no header
+log_string("File has header")
+jp store_header
+
+no_header:
+log_string("File doesn't have header")
+
+store_header:
+ld.w (header_offset_addr),r2 // Store header offset
+
+// Calculate romsz
+ld r1,#15
+ld r2,#0x1000000 // Max ROM size
+ld.l r3,(rom_file_size) // ROM file size
+ld.w r4,(header_offset_addr) // Header offset
+sub r3,r4 // Remove header offset from size for calculation
+
+rom_size_loop:
+cmp r1,#0
+jp z, finished_rom_size // If romsz == 0
+cmp r2,r3
+jp c, finished_rom_size // If size > r2
+asl r3,#1 // Else shift size left 1
+sub r1,#1 // Subtract 1 from rom size
+jp rom_size_loop
+
+finished_rom_size:
+ld.b (romsz_addr),r1
+log_string("Calculated ROM size:")
+hex.b r1
+
+check_header(lorom_header_seek, lorom_output)
+
+// Check headers at 0xFFBD
+ld.l r2,(rom_file_size)
+cmp r2,#0xFFFF
+jp c, finished_checking_headers // If ROM is smaller than 0xFFFF
+check_header(hirom_header_seek, hirom_output)
+
+// Check headers at 0x40FFBD
+ld.l r2,(rom_file_size)
+ld r3,#0x40
+asl r3,#16 // Shift left 16 times
+or r3,#0xFFFF // We now have 0x40FFFF in r3
+cmp r2,r3
+jp c, finished_checking_headers // If ROM is smaller than 0x40FFFF
+
+check_header(exhirom_header_seek, exhirom_output)
+
+// All headers checked, compare scores
+finished_checking_headers:
+close // Close file since we won't be seeking anymore
+
+ld.b r1,(lorom_output) // Get LoROM score
+ld.b r2,(hirom_output) // Get HiROM score
+ld.b r3,(exhirom_output) // Get ExHiROM score
+jp z,compare_scores // If ExHiROM has a score
+add r3,#4 // Add 4 to score to give weight if ExHiROM exists
+
+compare_scores:
+cmp r1,r2 // r1 - r2
+jp c, check_hirom_score // Jp if hirom >= lorom
+cmp r1,r3 // Else lorom >= hirom, so r1 - r3
+jp c, check_hirom_score // jp if exhirom >= lorom
+
+// LoROM has the highest core
+log_string("Choosing LoROM")
+ld.b r1,(lorom_output + 1) // Get LoROM chip type
+ld.b r2,(lorom_output + 2) // Get RAMSZ
+ld.b r3,(lorom_output + 3) // Get PAL
+jp set_core
+
+check_hirom_score:
+cmp r2,r3
+jp c, score_exhi // jp if exhirom >= hirom
+
+log_string("Choosing HiROM")
+ld.b r1,(hirom_output + 1) // Get HiROM chip type
+or r1,#1 // OR 1 to chip_type to mark HiROM
+ld.b r2,(hirom_output + 2) // Get RAMSZ
+ld.b r3,(hirom_output + 3) // Get PAL
+
+jp set_core
+
+score_exhi:
+log_string("Choosing ExHiROM")
+ld.b r1,(exhirom_output + 1) // Get ExHiROM chip type
+or r1,#2 // OR 2 to chip_type to mark ExHiROM
+ld.b r2,(exhirom_output + 2) // Get RAMSZ
+ld.b r3,(exhirom_output + 3) // Get PAL
+
+// Set core
+set_core:
+log_string("Setting core")
+ld r4,r1 // Copy chip type to r4
+and r4,#0xF0 // Get only the high nibble
+
+ld r8,#0
+core r8 // Default to the main core
+
+cmp r4,#0xD0 // Check if SPC7110
+jp nz, bit_sdd1
+log_string("Using SPC7110")
+jp expansion_core // It's SPC7110
+
+bit_sdd1:
+cmp r4,#0x50 // Check if SDD1
+jp nz, bit_bsx
+log_string("Using SDD1")
+jp expansion_core // It's SDD1
+
+bit_bsx:
+cmp r4,#0x30 // Check if BSX
+jp nz, send_chip
+log_string("Using BSX")
+// It's BSX
+
+expansion_core:
+ld r8,#1
+core r8 // Boot SPC7110/SDD1/BSX core
+
+send_chip:
+log_string("Sending chip type")
+ld r8,#8
+pmpw r8,r1
+
+log_string("Sending ROM size")
+ld.b r7,(romsz_addr)
+ld r8,#4 // Load address of ROM size
+pmpw r8,r7 // Send ROM size to FPGA
+
+log_string("Sending RAMSZ")
+ld r8,#0xC
+pmpw r8,r2
+
+log_string("Sending PAL")
+ld r8,#0x10
+pmpw r8,r3
+
+log_string("Booting")
+ld r1,#0 // Set address for write
+ld r2,#1 // Downloading start
+pmpw r1,r2 // Write ioctl_download = 1
+
+ld r1,#rom_dataslot
+ld.w r2,(header_offset_addr) // Get header offset
+adjfo r1,r2 // Offset by header offset
+loadf r1 // Load ROM
+
+ld r1,#0 // Set address for write
+ld r2,#0 // Downloading end
+pmpw r1,r2 // Write ioctl_download = 0
+
+// Load save
+ld r1,#0 // Set address for write
+ld r2,#1 // Downloading start
+pmpw r1,r2 // Write ioctl_download = 1
+
+ld r1,#save_dataslot
+loadf r1 // Load Save
+
+ld r1,#0 // Set address for write
+ld r2,#0 // Downloading end
+pmpw r1,r2 // Write ioctl_download = 0
+
+// Start core
+ld r0,#host_init
+host r0,r0
+
+exit 0
+
+error_handler:
+ld r14,#test_err_msg
+
+print:
+printf r14
+exit 1
+
+test_err_msg:
+db "Error",0
+align(2)
diff --git a/src/support/test_data.json b/src/support/test_data.json
new file mode 100644
index 0000000..e72dc39
--- /dev/null
+++ b/src/support/test_data.json
@@ -0,0 +1,16 @@
+{
+ "data": {
+ "magic": "APF_VER_1",
+ "data_slots": [
+ {
+ "name": "SMC",
+ "id": 0,
+ "filename": "C:/Users/adam/Downloads/SNES/BSX/Marvelous_-_Camp_Arnold_Course_-_Dai-1-shuu_Japan_SoundLink.bs",
+ "required": true,
+ "parameters": "0x109",
+ "extensions": ["smc", "sfc"],
+ "address": "0x10000000"
+ }
+ ]
+ }
+}
diff --git a/src/support/util.asm b/src/support/util.asm
new file mode 100644
index 0000000..f26f255
--- /dev/null
+++ b/src/support/util.asm
@@ -0,0 +1,75 @@
+/// Util Functions ///
+
+// Seek function
+// Input: location - r1
+// Clobbers Z
+macro seek() {
+ seek r1
+ jp z, seek_end
+
+ // Failed to seek
+ ld r14,#seek_err
+ printf r14
+ hex.l r1
+ exit 1
+
+ seek_end:
+}
+
+// Read function
+// Input: length - r1
+// Input: ouput memory address - r2
+// Clobbers Z
+macro read() {
+ read r2,r1
+ jp z, read_end
+
+ // Failed to read
+ ld r14,#read_err
+ printf r14
+ hex.l r1
+ exit 1
+
+ read_end:
+}
+
+macro align(size) {
+ while (pc() % {size}) {
+ db 0
+ }
+}
+
+macro log_string(value) {
+ if DEBUG {
+ ld r15,#+
+ printf r15
+ jp ++
+
+ +;
+ db {value},0
+ align(2)
+ +;
+ }
+}
+
+macro log_hex(value) {
+ if DEBUG {
+ ld r15,#{value}
+ hex.l r15
+ }
+}
+
+macro log_dec(value) {
+ if DEBUG {
+ ld r15,#{value}
+ dec.l r15
+ }
+}
+
+/// Messages ///
+
+seek_err:
+db "Seek fail 0x",0
+
+read_err:
+db "Read fail length 0x",0